The Library
Browse by Research subject area at the University of Warwick
Up a level |
- Subject Classification [Library of Congress, Moys] (83294)
- Q Science (36196)
- QA Mathematics (11254)
- QA76 Electronic computers. Computer science. Computer software (4153)
- QA Mathematics (11254)
- Q Science (36196)
Jump to: Journal Article | Book Item | Conference Item | Working or Discussion Paper | Book | Journal Item | Submitted Journal Article | Report | Thesis | Digital Scholarly Resource | News Item | Dataset | Book Review
Number of items at this level: 4153.
Journal Article
UNSPECIFIED (1985) A 2.5N LOWER BOUND ON THE MONOTONE NETWORK COMPLEXITY OF T3N. ACTA INFORMATICA, 22 (2). pp. 229-240. ISSN 0001-5903.
UNSPECIFIED (1992) 4 ALGORITHMS FOR ENHANCING IMAGES WITH LARGE PEAKS IN THEIR HISTOGRAM. IMAGE AND VISION COMPUTING, 10 (7). pp. 495-507. ISSN 0262-8856.
UNSPECIFIED (1985) ANALYSIS OF ALGORITHMS ON PROBLEMS IN GENERAL ABELIAN-GROUPS. INFORMATION PROCESSING LETTERS, 20 (4). pp. 215-220. ISSN 0020-0190.
UNSPECIFIED (1986) AN APPLICATION OF MEHLHORN ALGORITHM FOR BRACKET LANGUAGES TO LOG(N) SPACE RECOGNITION OF INPUT-DRIVEN LANGUAGES. INFORMATION PROCESSING LETTERS, 23 (2). pp. 81-84. ISSN 0020-0190.
UNSPECIFIED (1988) APPLICATIONS OF MEASURES OF UNCERTAINTY IN DISCRIMINANT-ANALYSIS. LECTURE NOTES IN COMPUTER SCIENCE, 301 . pp. 487-496. ISSN 0302-9743.
UNSPECIFIED (1989) APPROACHES TO QUALITATIVE REASONING. ARTIFICIAL INTELLIGENCE REVIEW, 3 (2-3). pp. 177-232. ISSN 0269-2821.
UNSPECIFIED (1990) AN ATTEMPT TO AUTOMATE THE TEACHING OF ACCOUNTING FINANCIAL MANAGEMENT. UNIVERSITY COMPUTING, 12 (1). pp. 22-25. ISSN 0265-4385.
UNSPECIFIED (2005) Acknowledged: analysing the bibliometric presence of the multiple sclerosis society. ASLIB PROCEEDINGS, 57 (3). pp. 247-260. doi:10.1108/00012530510599208 ISSN 0001-253X.
UNSPECIFIED (2006) Adaptive scale fixing for multiscale texture segmentation. IEEE TRANSACTIONS ON IMAGE PROCESSING, 15 (1). pp. 249-256. doi:10.1109/TIP.2005.860340 ISSN 1057-7149.
UNSPECIFIED (2001) Algorithms for particle-field simulations with collisions. JOURNAL OF COMPUTATIONAL PHYSICS, 172 (2). pp. 766-807. ISSN 0021-9991.
UNSPECIFIED (1999) Analysis of practical backoff protocols for contention resolution with multiple servers. JOURNAL OF COMPUTER AND SYSTEM SCIENCES, 58 (1). pp. 232-258. ISSN 0022-0000.
UNSPECIFIED (1999) Analytical modeling of set-associative cache behavior. IEEE TRANSACTIONS ON COMPUTERS, 48 (10). pp. 1009-1024. ISSN 0018-9340.
UNSPECIFIED (2000) Applying artificial intelligence to virtual reality: Intelligent virtual environments. APPLIED ARTIFICIAL INTELLIGENCE, 14 (1). pp. 3-32. ISSN 0883-9514.
UNSPECIFIED (1999) Approximate statistics of gapped alignments. JOURNAL OF COMPUTATIONAL BIOLOGY, 6 (1). pp. 91-112. ISSN 1066-5277.
UNSPECIFIED (1998) Approximation algorithms for two-machine flow shop scheduling with batch setup times. MATHEMATICAL PROGRAMMING, 82 (1-2). pp. 255-271. ISSN 0025-5610.
UNSPECIFIED (1999) Assessing information systems strategy development frameworks in SMEs. INFORMATION & MANAGEMENT, 36 (5). pp. 247-261. ISSN 0378-7206.
UNSPECIFIED (1997) Automated procedures for Boolean operations on finite element meshes. ENGINEERING COMPUTATIONS, 14 (6-7). 702-+. ISSN 0264-4401.
UNSPECIFIED (1997) Automatic growing of a hopfield style network during training for classification. NEURAL NETWORKS, 10 (3). pp. 529-537. ISSN 0893-6080.
UNSPECIFIED (2003) Automorphism group computation and isomorphism testing in finite groups. JOURNAL OF SYMBOLIC COMPUTATION, 35 (3). pp. 241-267. doi:10.1016/S0747-7171(02)00133-5 ISSN 0747-7171.
UNSPECIFIED (1994) BABBAGE,CHARLES AND THE ASSURANCE OF LIVES. IEEE ANNALS OF THE HISTORY OF COMPUTING, 16 (3). pp. 5-14. ISSN 1058-6180.
UNSPECIFIED (1991) THE BALANCED BINARY-TREE TECHNIQUE ON MESH-CONNECTED COMPUTERS. INFORMATION PROCESSING LETTERS, 37 (2). pp. 101-109. ISSN 0020-0190.
UNSPECIFIED (1988) BLACKBOARD SYSTEMS. ARTIFICIAL INTELLIGENCE REVIEW, 2 (2). pp. 103-118. ISSN 0269-2821.
UNSPECIFIED (1989) BUSINESS CASE STUDIES USING AN EXPERT SYSTEM ON A LOCAL AREA NETWORK. UNIVERSITY COMPUTING, 11 (3). pp. 129-134. ISSN 0265-4385.
UNSPECIFIED (2000) Beautiful numbers: The rise and decline of the British Association Mathematical Tables Committee, 1871-1965. IEEE ANNALS OF THE HISTORY OF COMPUTING, 22 (4). pp. 44-61. ISSN 1058-6180.
UNSPECIFIED (1999) Bounded diffusion for multiscale edge detection using regularized cubic B-spline fitting. IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS PART B-CYBERNETICS, 29 (2). pp. 291-297. ISSN 1083-4419.
UNSPECIFIED (2005) Bradley-Terry models in R. JOURNAL OF STATISTICAL SOFTWARE, 12 (1). pp. 1-12. ISSN 1548-7660.
UNSPECIFIED (1992) A CALCULUS OF MOBILE PROCESSES .1. INFORMATION AND COMPUTATION, 100 (1). pp. 1-40. ISSN 0890-5401.
UNSPECIFIED (1992) A CALCULUS OF MOBILE PROCESSES .2. INFORMATION AND COMPUTATION, 100 (1). pp. 41-77. ISSN 0890-5401.
UNSPECIFIED (1998) CALL and Web training with teacher self-empowerment: a departmental and long-term approach. COMPUTERS & EDUCATION, 31 (1). pp. 17-23. ISSN 0360-1315.
UNSPECIFIED (1995) CHARACTERIZATION BASED BOTTLENECK ANALYSIS OF PARALLEL SYSTEMS. SUPERCOMPUTER, 11 (4). pp. 89-101. ISSN 0168-7875.
UNSPECIFIED (1993) A CHARACTERIZATION OF MEET AND JOIN RESPECTING PRE-ORDERS AND CONGRUENCES ON FINITE LATTICES. ACTA INFORMATICA, 30 (8). pp. 773-785. ISSN 0001-5903.
UNSPECIFIED (1991) THE CHOICE OF COMPUTER LANGUAGES FOR USE IN SAFETY-CRITICAL SYSTEMS. SOFTWARE ENGINEERING JOURNAL, 6 (2). pp. 51-58. ISSN 0268-6961.
UNSPECIFIED (1989) A CLASS OF PROBLEMS EFFICIENTLY SOLVABLE ON MESH-CONNECTED COMPUTERS INCLUDING DYNAMIC EXPRESSION EVALUATION. INFORMATION PROCESSING LETTERS, 32 (6). pp. 305-311. ISSN 0020-0190.
UNSPECIFIED (1991) CLASSES OF DIALOG THEORY FOR THE LEARNING-PROCESS - A COMMENTARY. COMPUTERS & EDUCATION, 17 (1). pp. 25-27. ISSN 0360-1315.
UNSPECIFIED (1991) COMPETITIVE BENCHMARKING - PROGRESS AND FUTURE-DEVELOPMENT. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 4 (2). pp. 98-102. ISSN 0951-5240.
UNSPECIFIED (1994) A COMPOSITIONAL FRAMEWORK FOR FAULT-TOLERANCE BY SPECIFICATION TRANSFORMATION. THEORETICAL COMPUTER SCIENCE, 128 (1-2). pp. 99-125. ISSN 0304-3975.
UNSPECIFIED (1991) THE COMPUTATION OF NORMALIZERS IN PERMUTATION-GROUPS. JOURNAL OF SYMBOLIC COMPUTATION, 12 (4-5). pp. 499-516. ISSN 0747-7171.
UNSPECIFIED (1995) COMPUTATIONAL SIMILARITY. CONCURRENCY-PRACTICE AND EXPERIENCE, 7 (2). pp. 147-166. ISSN 1040-3108.
UNSPECIFIED (1993) A COMPUTER-SIMULATION SYSTEM FOR THE EVALUATION OF MAN ASSIGNMENTS ON CAR ASSEMBLY TRACKS. SIMULATION, 61 (2). pp. 124-133. ISSN 0037-5497.
UNSPECIFIED (1985) COMPUTING A BASIS FOR A FINITE ABELIAN P-GROUP. INFORMATION PROCESSING LETTERS, 20 (3). pp. 161-163. ISSN 0020-0190.
UNSPECIFIED (1990) COMPUTING EUCLIDEAN MAXIMUM SPANNING-TREES. ALGORITHMICA, 5 (3). pp. 407-419. ISSN 0178-4617.
UNSPECIFIED (1985) COMPUTING IN GENERAL ABELIAN-GROUPS IS HARD. THEORETICAL COMPUTER SCIENCE, 41 (1). pp. 81-93. ISSN 0304-3975.
UNSPECIFIED (1994) COPING WITH INFORMATION TECHNOLOGY - HOW BRITISH EXECUTIVES PERCEIVE THE KEY INFORMATION-SYSTEMS MANAGEMENT ISSUES IN THE MID-1990S. JOURNAL OF INFORMATION TECHNOLOGY, 9 (3). pp. 223-238. ISSN 0268-3962.
UNSPECIFIED (1997) Cadosa: A fuzzy expert system for differential diagnosis of obstructive sleep apnoea and related conditions. EXPERT SYSTEMS WITH APPLICATIONS, 12 (2). pp. 163-177. ISSN 0957-4174.
UNSPECIFIED (2005) Chaos communication synchronization: Combatting noise by distribution transformation. STATISTICS AND COMPUTING, 15 (1). pp. 43-52. ISSN 0960-3174.
UNSPECIFIED (2001) The Charles Babbage Institute reprint series for the history of computing. IEEE ANNALS OF THE HISTORY OF COMPUTING, 23 (4). pp. 44-48. ISSN 1058-6180.
UNSPECIFIED (2001) Children's enjoyment and perception of computer use in the home and the school. COMPUTERS & EDUCATION, 36 (4). pp. 347-362. ISSN 0360-1315.
UNSPECIFIED (2000) Coarse-to-fine planar object identification using invariant curve features and B-spline modeling. PATTERN RECOGNITION, 33 (9). pp. 1411-1422. ISSN 0031-3203.
UNSPECIFIED (1998) Common subsequences and supersequences and their expected length. COMBINATORICS PROBABILITY & COMPUTING, 7 (4). pp. 365-373. ISSN 0963-5483.
UNSPECIFIED (2004) Comparison of neural network predictors in the classification of tracheal-bronchial breath sounds by respiratory auscultation. ARTIFICIAL INTELLIGENCE IN MEDICINE, 31 (3). pp. 211-220. doi:10.1016/j.artmed.2004.01.004 ISSN 0933-3657.
UNSPECIFIED (2004) Complexity classification in qualitative temporal constraint reasoning. ARTIFICIAL INTELLIGENCE, 160 (1-2). pp. 35-51. doi:10.1016/j.artint.2004.05.010 ISSN 0004-3702.
UNSPECIFIED (1998) Compressing cube-connected cycles and butterfly networks. NETWORKS, 32 (1). pp. 47-65. ISSN 0028-3045.
UNSPECIFIED (2003) Computation of an unsteady complex geometry flow using novel non-linear turbulence models. INTERNATIONAL JOURNAL FOR NUMERICAL METHODS IN FLUIDS, 43 (9). pp. 979-1001. doi:10.1002/fld.518 ISSN 0271-2091.
UNSPECIFIED (2000) Computational approach to localization using global energy minimization. COMPUTERS & STRUCTURES, 78 (4). pp. 529-536. ISSN 0045-7949.
UNSPECIFIED (2002) Computer based initiatives for implementing and sustaining concurrent engineering. CONCURRENT ENGINEERING-RESEARCH AND APPLICATIONS, 10 (1). pp. 75-93. doi:10.1106/104538902023731 ISSN 1063-293X.
UNSPECIFIED (1999) Computing automatic coset systems and subgroup presentations. JOURNAL OF SYMBOLIC COMPUTATION, 27 (1). pp. 1-19. ISSN 0747-7171.
UNSPECIFIED (2004) Computing maximal subgroups of finite groups. JOURNAL OF SYMBOLIC COMPUTATION, 37 (5). pp. 589-609. doi:10.1016/j.jsc.2003.08.002 ISSN 0747-7171.
UNSPECIFIED (2005) Computing subgroups of bounded index in a finite group. JOURNAL OF SYMBOLIC COMPUTATION, 40 (2). pp. 1013-1022. doi:10.1016/j.jsc.2005.02.002 ISSN 0747-7171.
UNSPECIFIED (2001) Computing the subgroups of a permutation group. JOURNAL OF SYMBOLIC COMPUTATION, 31 (1-2). pp. 149-161. doi:10.1006/jsco.2000.1012 ISSN 0747-7171.
UNSPECIFIED (2000) Concurrent engineering within British industry. CONCURRENT ENGINEERING-RESEARCH AND APPLICATIONS, 8 (1). pp. 2-11. ISSN 1063-293X.
UNSPECIFIED (2003) Conditional orthogonality and conditional stochastic realization. DIRECTIONS IN MATHEMATICAL SYSTEMS THEORY AND OPTIMIZATION, 286 . pp. 71-84. ISSN 0170-8643.
UNSPECIFIED (1998) Conditional simulation for moving average processes, with discrete or continuous values. STATISTICS AND COMPUTING, 8 (2). pp. 135-144. ISSN 0960-3174.
UNSPECIFIED (1998) Consistency of natural relations on sets. COMBINATORICS PROBABILITY & COMPUTING, 7 (3). pp. 281-293. ISSN 0963-5483.
UNSPECIFIED (2003) Continuous extension of order-preserving homogeneous maps. KYBERNETIKA, 39 (2). pp. 205-215. ISSN 0023-5954.
UNSPECIFIED (2004) Counting and sampling H-colourings. INFORMATION AND COMPUTATION, 189 (1). pp. 1-16. doi:10.1016/j.ic.2003.09.001 ISSN 0890-5401.
UNSPECIFIED (2005) Cue-guided search: A computational model of selective attention. IEEE TRANSACTIONS ON NEURAL NETWORKS, 16 (4). pp. 910-924. doi:10.1109/TNN.2005.851787 ISSN 1045-9227.
UNSPECIFIED (2004) Customer evaluation of application services provisioning in five vertical sectors. JOURNAL OF INFORMATION TECHNOLOGY, 19 (1). pp. 39-58. doi:10.1057/palgrave.jit.2000006 ISSN 0268-3962.
UNSPECIFIED (2003) Cybersphere: The fully immersive spherical projection system. COMMUNICATIONS OF THE ACM, 46 (9). pp. 141-146. ISSN 0001-0782.
UNSPECIFIED (1993) DENSE EDGE-DISJOINT EMBEDDING OF COMPLETE BINARY-TREES IN THE HYPERCUBE. INFORMATION PROCESSING LETTERS, 45 (6). pp. 321-325. ISSN 0020-0190.
UNSPECIFIED (1989) THE DESIGN OF HEXAGONAL SAMPLING STRUCTURES FOR IMAGE DIGITIZATION AND THEIR USE WITH LOCAL OPERATORS. IMAGE AND VISION COMPUTING, 7 (3). pp. 162-166. ISSN 0262-8856.
UNSPECIFIED (1987) THE DETECTION AND MEASUREMENT OF VISUAL-MOTION. PATTERN RECOGNITION LETTERS, 5 (2). pp. 169-173. ISSN 0167-8655.
UNSPECIFIED (2005) Deciding global partial-order properties. FORMAL METHODS IN SYSTEM DESIGN, 26 (1). pp. 7-25. doi:10.1007/s10703-005-4592-0 ISSN 0925-9856.
UNSPECIFIED (2002) Densely packed decimal encoding. IEE PROCEEDINGS-COMPUTERS AND DIGITAL TECHNIQUES, 149 (3). pp. 102-104. doi:10.1049/ip-cdt:20020407 ISSN 1350-2387.
UNSPECIFIED (2005) Detected edge position evaluation using measured acquisition system parameters. PATTERN RECOGNITION LETTERS, 26 (11). pp. 1609-1619. doi:10.1016/j.patrec.2005.01.007 ISSN 0167-8655.
UNSPECIFIED (2002) Detecting and locating landmine fields from vehicle- and air-borne measured IR images. PATTERN RECOGNITION, 35 (12). pp. 3001-3014. ISSN 0031-3203.
UNSPECIFIED (1996) Development of a knowledge-based system for balancing complex mixed model assembly lines. INTERNATIONAL JOURNAL OF COMPUTER INTEGRATED MANUFACTURING, 9 (3). pp. 205-216. ISSN 0951-192X.
UNSPECIFIED (2003) Differential equation-based wall distance computation for DES and RANS. JOURNAL OF COMPUTATIONAL PHYSICS, 190 (1). pp. 229-248. doi:10.1016/S0021-9991(03)00272-9 ISSN 0021-9991.
UNSPECIFIED (2003) Discrete mixtures in Bayesian networks with hidden variables: a latent time budget example. COMPUTATIONAL STATISTICS & DATA ANALYSIS, 41 (3-4). pp. 539-547. ISSN 0167-9473.
UNSPECIFIED (2005) Distributed simulation and simulation practice. SIMULATION-TRANSACTIONS OF THE SOCIETY FOR MODELING AND SIMULATION INTERNATIONAL, 81 (1). pp. 5-13. doi:10.1177/0037549705052327 ISSN 0037-5497.
UNSPECIFIED (1997) Doubly logarithmic communication algorithms for optical-communication parallel computers. SIAM JOURNAL ON COMPUTING, 26 (4). pp. 1100-1119. ISSN 0097-5397.
UNSPECIFIED (1990) EFFECTIVENESS FRAMEWORK FOR SUPPLY CHAIN MANAGEMENT. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 3 (4). pp. 196-206. ISSN 0951-5240.
UNSPECIFIED (1989) THE EFFICIENCY OF NUMERICAL-METHODS FOR THE ANALYSIS OF PRESTRESSED NETS AND PIN-JOINTED FRAME STRUCTURES. COMPUTERS & STRUCTURES, 33 (3). pp. 791-800. ISSN 0045-7949.
UNSPECIFIED (1990) EFFICIENT BINARY SPACE PARTITIONS FOR HIDDEN-SURFACE REMOVAL AND SOLID MODELING. DISCRETE & COMPUTATIONAL GEOMETRY, 5 (5). pp. 485-503. ISSN 0179-5376.
UNSPECIFIED (1982) EFFICIENT PARALLEL ALGORITHMS FOR LINEAR RECURRENCE COMPUTATION. INFORMATION PROCESSING LETTERS, 15 (1). pp. 31-35. ISSN 0020-0190.
UNSPECIFIED (1986) ENTITY MODEL CLUSTERING - STRUCTURING A DATA MODEL BY ABSTRACTION. COMPUTER JOURNAL, 29 (4). pp. 348-360. ISSN 0010-4620.
UNSPECIFIED (1991) AN EXTENSION OF KHRAPCHENKO THEOREM. INFORMATION PROCESSING LETTERS, 37 (4). pp. 215-217. ISSN 0020-0190.
UNSPECIFIED (2001) Efficient motion estimation and coding for arbitrary-shaped video objects. JOURNAL OF VISUAL COMMUNICATION AND IMAGE REPRESENTATION, 12 (1). pp. 66-83. doi:10.1006/jvci.2000.0462 ISSN 1047-3203.
UNSPECIFIED (2001) Efficient parallel algorithms for numerical simulation. FUTURE GENERATION COMPUTER SYSTEMS, 17 (8). pp. 961-967. ISSN 0167-739X.
UNSPECIFIED (1999) Efficient planar object tracking and parameter estimation using compactly represented cubic B-Spline curves. IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS PART A-SYSTEMS AND HUMANS, 29 (4). pp. 358-367. ISSN 1083-4427.
UNSPECIFIED (2004) Efficient three-dimensional metric object modeling from uncalibrated image sequences. IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS PART B-CYBERNETICS, 34 (2). pp. 856-876. doi:10.1109/TSMCB.2003.817103 ISSN 1083-4419.
UNSPECIFIED (1998) Engineering AgentSpeak(L): A formal computational model. JOURNAL OF LOGIC AND COMPUTATION, 8 (3). pp. 233-260. ISSN 0955-792X.
UNSPECIFIED (2001) Estimation of system reliability using a "non-constant failure rate" model. IEEE TRANSACTIONS ON RELIABILITY, 50 (3). pp. 286-288. ISSN 0018-9529.
UNSPECIFIED (1998) Evaluating information systems in small and medium-sized enterprises: issues and evidence. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 7 (4). pp. 241-251. ISSN 0960-085X.
UNSPECIFIED (1999) Evaluation of frequency and time-frequency spectral analysis of heart rate variability as a diagnostic marker of the sleep apnoea syndrome. MEDICAL & BIOLOGICAL ENGINEERING & COMPUTING, 37 (6). pp. 760-769. ISSN 0140-0118.
UNSPECIFIED (2002) Exploring relationships in information technology outsourcing: the interaction approach. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 11 (1). pp. 3-19. doi:10.1057/palgrave.ejis.3000415 ISSN 0960-085X.
UNSPECIFIED (2002) Extracting geometric attributes directly from scanned data sets for feature recognition. INTERNATIONAL JOURNAL OF COMPUTER INTEGRATED MANUFACTURING, 15 (1). pp. 50-61. ISSN 0951-192X.
UNSPECIFIED (1987) FAST PARALLEL ALGORITHMS FOR OPTIMAL EDGE-COLORING OF SOME TREE-STRUCTURED GRAPHS. LECTURE NOTES IN COMPUTER SCIENCE, 278 . pp. 155-162. ISSN 0302-9743.
UNSPECIFIED (1985) FEATURE ANALYSIS OF CONTEMPORARY INFORMATION-SYSTEMS METHODOLOGIES. COMPUTER JOURNAL, 28 (3). pp. 223-230. ISSN 0010-4620.
UNSPECIFIED (1987) FINITE PROLATE SPHEROIDAL SEQUENCES AND THEIR APPLICATIONS .1. GENERATION AND PROPERTIES. IEEE TRANSACTIONS ON PATTERN ANALYSIS AND MACHINE INTELLIGENCE, 9 (6). pp. 787-795. ISSN 0162-8828.
UNSPECIFIED (1988) FINITE PROLATE SPHEROIDAL SEQUENCES AND THEIR APPLICATIONS .2. IMAGE FEATURE DESCRIPTION AND SEGMENTATION. IEEE TRANSACTIONS ON PATTERN ANALYSIS AND MACHINE INTELLIGENCE, 10 (2). pp. 193-203. ISSN 0162-8828.
UNSPECIFIED (1983) A FORMAL ACCOUNT OF SOME ELEMENTARY CONTINUED-FRACTION ALGORITHMS. JOURNAL OF ALGORITHMS, 4 (3). pp. 221-240. ISSN 0196-6774.
UNSPECIFIED (1995) FUNCTION REPRESENTATION IN GEOMETRIC MODELING - CONCEPTS, IMPLEMENTATION AND APPLICATIONS. VISUAL COMPUTER, 11 (8). pp. 429-446. ISSN 0178-2789.
UNSPECIFIED (1990) A FUNCTIONAL STYLE OF PROGRAMMING WITH CSP-LIKE COMMUNICATION MECHANISMS. NEW GENERATION COMPUTING, 7 (4). pp. 341-364. ISSN 0288-3635.
UNSPECIFIED (1994) FUZZY KNOWLEDGE-BASED APPROACH TO TREATING UNCERTAINTY IN INVENTORY CONTROL. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 7 (3). pp. 147-152. ISSN 0951-5240.
UNSPECIFIED (1999) Fast Bayes and the dynamic junction forest. ARTIFICIAL INTELLIGENCE, 107 (1). pp. 99-124. ISSN 0004-3702.
UNSPECIFIED (2004) Fast numerical simulations of 2D turbulence using a dynamic model for subfilter motions. JOURNAL OF COMPUTATIONAL PHYSICS, 196 (1). pp. 184-207. doi:10.1016/j.jcp.2003.10.022 ISSN 0021-9991.
UNSPECIFIED (2001) Feature representation and signal classification in fluorescence in-situ hybridization image analysis. IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS PART A-SYSTEMS AND HUMANS, 31 (6). pp. 655-665. ISSN 1083-4427.
UNSPECIFIED (1998) Financial appraisal and the IS/IT investment decision making process. JOURNAL OF INFORMATION TECHNOLOGY, 13 (1). pp. 3-14. ISSN 0268-3962.
UNSPECIFIED (2002) Flow analysis: Games and nets. ESSENCE OF COMPUTATION: COMPLEXITY ANALYSIS, TRANSFORMATION, 2566 . pp. 135-156. ISSN 0302-9743.
UNSPECIFIED (1996) Framework to analyse flexibility and unplanned change in manufacturing systems. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 9 (1). pp. 57-64. ISSN 0951-5240.
UNSPECIFIED (1996) From efficiency to flexibility: The strategic use of information in the airline industry. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 5 (3). pp. 169-188. ISSN 0963-8687.
UNSPECIFIED (1991) GCODE - A REVISED STANDARD FOR A GRAPH REPRESENTATION FOR FUNCTIONAL PROGRAMS. SIGPLAN NOTICES, 26 (1). pp. 133-135. ISSN 0362-1340.
UNSPECIFIED (1992) A GENERALIZED WAVELET TRANSFORM FOR FOURIER-ANALYSIS - THE MULTIRESOLUTION FOURIER-TRANSFORM AND ITS APPLICATION TO IMAGE AND AUDIO SIGNAL ANALYSIS. IEEE TRANSACTIONS ON INFORMATION THEORY, 38 (2 Part 2). pp. 674-690. ISSN 0018-9448.
UNSPECIFIED (1988) GETTING WOMEN INTO COMPUTING. UNIVERSITY COMPUTING, 10 (3). pp. 154-157. ISSN 0265-4385.
UNSPECIFIED (1994) A GROUP-THEORETIC APPROACH TO RINGS OF COUPLED BIOLOGICAL OSCILLATORS. BIOLOGICAL CYBERNETICS, 71 (2). pp. 95-103. ISSN 0340-1200.
UNSPECIFIED (2002) Grobner bases, abstract tubes, and inclusion-exclusion reliability bounds. IEEE TRANSACTIONS ON RELIABILITY, 51 (3). pp. 358-366. doi:10.1109/TR.2002.802890 ISSN 0018-9529.
UNSPECIFIED (1993) HEXAPODAL GAITS AND COUPLED NONLINEAR OSCILLATOR MODELS. BIOLOGICAL CYBERNETICS, 68 (4). pp. 287-298. ISSN 0340-1200.
UNSPECIFIED (2001) High performance service discovery in large-scale multi-agent and mobile-agent systems. INTERNATIONAL JOURNAL OF SOFTWARE ENGINEERING AND KNOWLEDGE ENGINEERING, 11 (5). pp. 621-641. ISSN 0218-1940.
UNSPECIFIED (2002) High-order spatial discretisations in electrochemical digital simulation. Part 3. Combination with the explicit Runge-Kutta algorithm. COMPUTERS & CHEMISTRY, 26 (2). pp. 97-103. ISSN 0097-8485.
IFIP TC3 TC9 Joint Task Grp (Including: UNSPECIFIED). (1999) History in the computing curriculum. IEEE ANNALS OF THE HISTORY OF COMPUTING, 21 (1). pp. 4-16. ISSN 1058-6180.
UNSPECIFIED (1995) ICL AND THE EVOLUTION OF THE BRITISH MAINFRAME. COMPUTER JOURNAL, 38 (5). pp. 400-412. ISSN 0010-4620.
UNSPECIFIED (1990) IDENTIFIABILITY AND INDISTINGUISHABILITY OF LINEAR COMPARTMENTAL-MODELS. MATHEMATICS AND COMPUTERS IN SIMULATION, 32 (3). pp. 273-295. ISSN 0378-4754.
UNSPECIFIED (1985) IMPOSSIBILITY OF DISTRIBUTED CONSENSUS WITH ONE FAULTY PROCESS. JOURNAL OF THE ACM, 32 (2). pp. 374-382. ISSN 0004-5411.
UNSPECIFIED (1990) IMPROVED SORTING NETWORKS WITH O(LOG N) DEPTH. ALGORITHMICA, 5 (1). pp. 75-92. ISSN 0178-4617.
UNSPECIFIED (1988) INFORMATION-SYSTEMS DEVELOPMENT - CURRENT THEMES AND FUTURE-DIRECTIONS. INFORMATION AND SOFTWARE TECHNOLOGY, 30 (8). pp. 458-466. ISSN 0950-5849.
UNSPECIFIED (1993) INTRODUCTION TO SEMIGROUP THEORY. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 185 . pp. 1-22. ISSN 0170-8643.
UNSPECIFIED (1988) IS VISION A PATTERN-RECOGNITION PROBLEM. LECTURE NOTES IN COMPUTER SCIENCE, 301 . pp. 1-25. ISSN 0302-9743.
UNSPECIFIED (1991) ISL - AN INTERVAL LOGIC FOR THE SPECIFICATION OF REAL-TIME PROGRAMS. LECTURE NOTES IN COMPUTER SCIENCE, 571 . pp. 1-20. ISSN 0302-9743.
UNSPECIFIED (2004) IT and business process outsourcing: The knowledge potential. INFORMATION SYSTEMS MANAGEMENT, 21 (3). pp. 7-15. ISSN 1058-0530.
UNSPECIFIED (2006) IT outsourcing and core is capabilities: Challenges and lessons at Dupont. INFORMATION SYSTEMS MANAGEMENT, 23 (1). pp. 49-56. ISSN 1058-0530.
UNSPECIFIED (2003) IT sourcing reflections - Lessons for customers and suppliers. WIRTSCHAFTSINFORMATIK, 45 (2). pp. 115-125. ISSN 0937-6429.
UNSPECIFIED (2006) IT-enabled healthcare delivery: The UK National Health Service. INFORMATION SYSTEMS MANAGEMENT, 23 (2). pp. 7-22. ISSN 1058-0530.
UNSPECIFIED (2003) Immersive learning system for manufacturing industries. COMPUTERS IN INDUSTRY, 51 (1). pp. 31-40. doi:10.1016/S0166-3615(03)00027-7 ISSN 0166-3615.
UNSPECIFIED (2005) Impact of temperature and pH value on the stability of hGHRH: An MD approach. MATHEMATICAL AND COMPUTER MODELLING, 41 (10). pp. 1157-1170. doi:10.1016/j.mcm.2005.05.009 ISSN 0895-7177.
UNSPECIFIED (1996) Implementing fail-silent nodes for distributed systems. IEEE TRANSACTIONS ON COMPUTERS, 45 (11). pp. 1226-1238. ISSN 0018-9340.
UNSPECIFIED (2000) Improved algorithms for dynamic shortest paths. ALGORITHMICA, 28 (4). pp. 367-389. ISSN 0178-4617.
UNSPECIFIED (1999) Improved approximation guarantees for packing and covering integer programs. SIAM JOURNAL ON COMPUTING, 29 (2). pp. 648-670. ISSN 0097-5397.
UNSPECIFIED (2000) Individual and collective congruence in the knowledge management process. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 9 (2-3). pp. 213-234. ISSN 0963-8687.
UNSPECIFIED (2001) Information systems strategies in knowledge-based SMEs: the role of core competencies. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 10 (1). pp. 25-40. ISSN 0960-085X.
UNSPECIFIED (1999) Information systems strategy for small and medium sized enterprises: an organisational perspective. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 9 (1). pp. 63-84. ISSN 0963-8687.
UNSPECIFIED (1996) Information systems/technology evaluation practices: Evidence from UK organizations. JOURNAL OF INFORMATION TECHNOLOGY, 11 (2). pp. 129-141. ISSN 0268-3962.
UNSPECIFIED (1995) Information: A perceiver-concerns perspective. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 4 (3). pp. 121-129. ISSN 0960-085X.
UNSPECIFIED (2005) Integration of multiresolution image segmentation and neural networks for object depth recovery. PATTERN RECOGNITION, 38 (7). pp. 985-996. doi:10.1016/j.patcog.2005.01.005 ISSN 0031-3203.
UNSPECIFIED (1997) The Internet in universities: Liberation or desensitization? COMPUTERS & GEOSCIENCES, 23 (5). pp. 513-519. ISSN 0098-3004.
UNSPECIFIED (2001) Investigation of the occurrence of: No-faults-found in electronic equipment. IEEE TRANSACTIONS ON RELIABILITY, 50 (3). pp. 289-292. ISSN 0018-9529.
UNSPECIFIED (1994) LINKING MANUFACTURING STRATEGY TO THE DESIGN OF A CUSTOMIZED HYBRID PRODUCTION CONTROL-SYSTEM. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 7 (2). pp. 134-141. ISSN 0951-5240.
UNSPECIFIED (1985) LOWER BOUNDS ON THE COMPLEXITY OF ONE TIME ONLY BRANCHING PROGRAMS. LECTURE NOTES IN COMPUTER SCIENCE, 199 . pp. 90-99. ISSN 0302-9743.
UNSPECIFIED (1998) Lattices and dual lattices in optimal experimental design for Fourier models. COMPUTATIONAL STATISTICS & DATA ANALYSIS, 28 (3). pp. 283-296. ISSN 0167-9473.
UNSPECIFIED (1996) Lower bounds for monotone span programs. COMPUTATIONAL COMPLEXITY, 6 (1). pp. 29-45. ISSN 1016-3328.
UNSPECIFIED (1991) MANAGERIAL INTEGRATION AND CIM - A STRATEGIC RESPONSE. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 4 (2). pp. 103-108. ISSN 0951-5240.
UNSPECIFIED (1986) MATRIX TECHNIQUES. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 36-60. ISSN 0170-8643.
UNSPECIFIED (1985) THE MECHANICAL COMPUTATION OF 1ST AND 2ND COHOMOLOGY GROUPS. JOURNAL OF SYMBOLIC COMPUTATION, 1 (4). pp. 351-361. ISSN 0747-7171.
UNSPECIFIED (1991) THE MINSUMCUT PROBLEM. LECTURE NOTES IN COMPUTER SCIENCE, 519 . pp. 65-79. ISSN 0302-9743.
UNSPECIFIED (1994) MODEL-BASED MULTIRESOLUTION MOTION ESTIMATION IN NOISY IMAGES. CVGIP-IMAGE UNDERSTANDING, 59 (3). pp. 307-319. ISSN 1049-9660.
UNSPECIFIED (1994) MODELING THE CASE PROCESS - EMPIRICAL ISSUES AND FUTURE-DIRECTIONS. INFORMATION AND SOFTWARE TECHNOLOGY, 36 (10). pp. 587-596. ISSN 0950-5849.
UNSPECIFIED (1999) Making sense of telebanking information systems: the role of organizational back ups. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 8 (2). pp. 143-156. ISSN 0963-8687.
UNSPECIFIED (2003) Modeling chirp and phase inversion in wavelength converters based on symmetrical MZI-SOAs for use in all-optical networks. PHOTONIC NETWORK COMMUNICATIONS, 5 (3). pp. 289-300. ISSN 1387-974X.
UNSPECIFIED (2002) Modes of simulation practice: approaches to business and military simulation. SIMULATION MODELLING PRACTICE AND THEORY, 10 (8). pp. 513-523. doi:10.1016/S1569-190X(02)00117-X ISSN 1569-190X.
UNSPECIFIED (2005) Molecular dynamics simulations of tubulin structure and calculations of electrostatic properties of microtubules. MATHEMATICAL AND COMPUTER MODELLING, 41 (10). pp. 1055-1070. doi:10.1016/j.mcm.2005.05.002 ISSN 0895-7177.
UNSPECIFIED (1995) Multimedia hypermedia in CIM: State-of-the-art review and research implications .1. State-of-the-art review. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 8 (4). pp. 255-260. ISSN 0951-5240.
UNSPECIFIED (1995) Multimedia hypermedia in CIM: State-of-the-art review and research implications .2. Research implications. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 8 (4). pp. 261-268. ISSN 0951-5240.
UNSPECIFIED (2002) Multiresolution feature detection using a family of isotropic bandpass filters. IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS PART B-CYBERNETICS, 32 (4). pp. 443-454. ISSN 1083-4419.
UNSPECIFIED (1986) NEARLY OPTIMAL HIERARCHIES FOR NETWORK AND FORMULA SIZE. ACTA INFORMATICA, 23 (2). pp. 217-221. ISSN 0001-5903.
UNSPECIFIED (1987) A NOTE ON OPTIMAL PARALLEL TRANSFORMATIONS OF REGULAR EXPRESSIONS TO NONDETERMINISTIC FINITE AUTOMATA. LECTURE NOTES IN COMPUTER SCIENCE, 269 . pp. 138-145. ISSN 0302-9743.
UNSPECIFIED (1990) NUMERICAL MODELING OF THE NONLINEAR STATIC RESPONSE OF CLAD CABLE NET STRUCTURES. COMPUTERS & STRUCTURES, 35 (1). pp. 15-22. ISSN 0045-7949.
UNSPECIFIED (1999) Neural network detection of files of suicidal patients and suicidal profiles. MEDICAL INFORMATICS AND THE INTERNET IN MEDICINE, 24 (4). pp. 249-256. ISSN 1463-9238.
UNSPECIFIED (1997) Neural networks applied to ultrasonic tomographic image reconstruction. NEURAL COMPUTING & APPLICATIONS, 5 (2). pp. 106-123. ISSN 0941-0643.
UNSPECIFIED (2000) An O(n log n) algorithm for finding a shortest central link segment. INTERNATIONAL JOURNAL OF COMPUTATIONAL GEOMETRY & APPLICATIONS, 10 (2). pp. 157-188. ISSN 0218-1959.
UNSPECIFIED (1995) OBJECTS IN THE PI-CALCULUS. INFORMATION AND COMPUTATION, 116 (2). pp. 253-271. ISSN 0890-5401.
UNSPECIFIED (1982) OMEGA(N LOG N) LOWER BOUNDS ON LENGTH OF BOOLEAN-FORMULAS. SIAM JOURNAL ON COMPUTING, 11 (3). pp. 416-427. ISSN 0097-5397.
UNSPECIFIED (1984) ON FINITE VOLTERRA SERIES WHICH ADMIT HAMILTONIAN REALIZATIONS. MATHEMATICAL SYSTEMS THEORY, 17 (4). pp. 293-318. ISSN 0025-5661.
UNSPECIFIED (1992) ON NEAREST-NEIGHBOR GRAPHS. LECTURE NOTES IN COMPUTER SCIENCE, 623 . pp. 416-426. ISSN 0302-9743.
UNSPECIFIED (1992) ON PROBABILISTIC ACC CIRCUITS WITH AN EXACT-THRESHOLD OUTPUT GATE. LECTURE NOTES IN COMPUTER SCIENCE, 650 . pp. 420-429. ISSN 0302-9743.
UNSPECIFIED (1987) ON THE PLANAR MONOTONE COMPUTATION OF BOOLEAN FUNCTIONS. THEORETICAL COMPUTER SCIENCE, 53 (2-3). pp. 267-279. ISSN 0304-3975.
UNSPECIFIED (1985) ON THE PLANAR MONOTONE COMPUTATION OF THRESHOLD FUNCTIONS. LECTURE NOTES IN COMPUTER SCIENCE, 182 . pp. 219-230. ISSN 0302-9743.
UNSPECIFIED (1982) AN OPERATIONAL SEMANTICS FOR PURE DATAFLOW. LECTURE NOTES IN COMPUTER SCIENCE, 140 . pp. 212-224. ISSN 0302-9743.
UNSPECIFIED (1986) AN OPTIMAL PARALLEL ALGORITHM FOR DYNAMIC EXPRESSION EVALUATION AND ITS APPLICATIONS. LECTURE NOTES IN COMPUTER SCIENCE, 241 . pp. 453-469. ISSN 0302-9743.
UNSPECIFIED (1989) OPTIMAL PARALLEL ALGORITHMS FOR DYNAMIC EXPRESSION EVALUATION AND CONTEXT-FREE RECOGNITION. INFORMATION AND COMPUTATION, 81 (1). pp. 32-45. ISSN 0890-5401.
UNSPECIFIED (1987) OPTIMAL PARALLEL PARSING OF BRACKET LANGUAGES. LECTURE NOTES IN COMPUTER SCIENCE, 269 . pp. 146-154. ISSN 0302-9743.
UNSPECIFIED (1987) OPTIMAL PARALLEL PARSING OF BRACKET LANGUAGES. THEORETICAL COMPUTER SCIENCE, 53 (2-3). pp. 295-306. ISSN 0304-3975.
UNSPECIFIED (1987) ORDERED PORTS - A LANGUAGE CONCEPT FOR HIGH-LEVEL DISTRIBUTED-PROGRAMMING. COMPUTER JOURNAL, 30 (6). pp. 487-497. ISSN 0010-4620.
UNSPECIFIED (1992) THE ORDERING OF THE UNKNOWN BY CAUSING IT TO ORDER ITSELF - REPLY. INTERNATIONAL JOURNAL OF GENERAL SYSTEMS, 21 (2). p. 271. ISSN 0308-1079.
UNSPECIFIED (1998) On a relation between uniform coding and problems of the form DTIMEF(F) =? DSPACEF(F). ACTA INFORMATICA, 35 (8). pp. 665-672. ISSN 0001-5903.
UNSPECIFIED (2005) On feedback and the classical capacity of a noisy quantum channel. IEEE TRANSACTIONS ON INFORMATION THEORY, 51 (1). pp. 320-324. doi:10.1109/TIT.2004.839519 ISSN 0018-9448.
UNSPECIFIED (1996) On knowing how to do things: A theory of motor imagery. COGNITIVE BRAIN RESEARCH, 3 (2). pp. 65-69. ISSN 0926-6410.
UNSPECIFIED (1997) On nearest-neighbor graphs. DISCRETE & COMPUTATIONAL GEOMETRY, 17 (3). pp. 263-282. ISSN 0179-5376.
UNSPECIFIED (2004) On the Euclidean TSP with a permuted Van der Veen matrix. INFORMATION PROCESSING LETTERS, 91 (6). pp. 259-262. doi:10.1016/j.ipl.2004.05.011 ISSN 0020-0190.
UNSPECIFIED (1998) On the traveling salesman problem with a relaxed Monge matrix. INFORMATION PROCESSING LETTERS, 67 (5). pp. 231-237. ISSN 0020-0190.
UNSPECIFIED (2004) On-line scheduling a batch processing system to minimize total weighted job completion time. JOURNAL OF COMBINATORIAL OPTIMIZATION, 8 (1). pp. 85-95. ISSN 1382-6905.
UNSPECIFIED (2005) Optical wireless links with enhanced linearity and selectivity [Invited]. JOURNAL OF OPTICAL NETWORKING, 4 (10). pp. 671-684. ISSN 1536-5379.
UNSPECIFIED (1997) Optimal parallel randomized renaming. INFORMATION PROCESSING LETTERS, 61 (1). pp. 7-10. ISSN 0020-0190.
UNSPECIFIED (1996) Optimal structural membranes .1. Formulation of a curved quadrilateral element for surface definition. COMPUTERS & STRUCTURES, 61 (5). pp. 871-883. ISSN 0045-7949.
UNSPECIFIED (1996) Optimal structural membranes .2. Form-finding of prestressed membranes using a curved quadrilateral finite element for surface definition. COMPUTERS & STRUCTURES, 61 (5). pp. 885-895. ISSN 0045-7949.
UNSPECIFIED (2001) Optimisation of application execution on dynamic systems. FUTURE GENERATION COMPUTER SYSTEMS, 17 (8). pp. 941-949. ISSN 0167-739X.
UNSPECIFIED (2005) Optimising basic edge detectors for particular acquisition systems. PATTERN RECOGNITION LETTERS, 26 (10). pp. 1525-1531. doi:10.1016/j.patrec.2004.12.002 ISSN 0167-8655.
UNSPECIFIED (1991) P-A LOGIC - A COMPOSITIONAL PROOF SYSTEM FOR DISTRIBUTED PROGRAMS. DISTRIBUTED COMPUTING, 5 (1). pp. 37-54. ISSN 0178-2770.
UNSPECIFIED (1987) PARALLEL ALGORITHMS FOR APPROXIMATE EDGE COLORING OF SIMPLE GRAPHS. LECTURE NOTES IN COMPUTER SCIENCE, 287 . pp. 207-225. ISSN 0302-9743.
UNSPECIFIED (1988) PARALLEL O(LOG N) TIME EDGE-COLOURING OF TREES AND HALIN GRAPHS. INFORMATION PROCESSING LETTERS, 27 (1). pp. 43-51. ISSN 0020-0190.
UNSPECIFIED (1986) PARAMETER-ESTIMATION. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 176-188. ISSN 0170-8643.
UNSPECIFIED (1989) PARTITIONING SPACE FOR RANGE QUERIES. SIAM JOURNAL ON COMPUTING, 18 (2). pp. 371-384. ISSN 0097-5397.
UNSPECIFIED (1998) PASSML: combining evolutionary inference and protein secondary structure prediction. BIOINFORMATICS, 14 (8). pp. 726-733. ISSN 1367-4803.
UNSPECIFIED (1995) PIK MASS-PRODUCTION AND AN OPTIMAL CIRCUIT FOR THE NECIPORUK SLICE. COMPUTATIONAL COMPLEXITY, 5 (2). pp. 132-154. ISSN 1016-3328.
UNSPECIFIED (1991) PLANAR ACYCLIC COMPUTATION. INFORMATION AND COMPUTATION, 90 (2). pp. 178-193. ISSN 0890-5401.
UNSPECIFIED (1985) PLANAR CIRCUITS HAVE SHORT SPECIFICATIONS. LECTURE NOTES IN COMPUTER SCIENCE, 182 . pp. 231-242. ISSN 0302-9743.
UNSPECIFIED (1987) THE PLANAR REALIZATION OF BOOLEAN FUNCTIONS. INFORMATION PROCESSING LETTERS, 24 (3). pp. 165-170. ISSN 0020-0190.
UNSPECIFIED (1986) POINT RETRIEVAL FOR POLYGONS. JOURNAL OF ALGORITHMS, 7 (3). pp. 441-447. ISSN 0196-6774.
UNSPECIFIED (1994) A PRACTICAL MINIMUM DISTANCE METHOD FOR SYNTAX ERROR HANDLING. COMPUTER LANGUAGES, 20 (4). pp. 239-252. ISSN 0096-0551.
UNSPECIFIED (1986) PRACTICAL PROBLEMS IN IDENTIFICATION. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 358-386. ISSN 0170-8643.
UNSPECIFIED (1982) PREDICTING THE NUMBER OF DISTINCT ELEMENTS IN A MULTISET. SIAM JOURNAL ON COMPUTING, 11 (4). pp. 611-619. ISSN 0097-5397.
UNSPECIFIED (1992) THE PROBLEMS OF SOCIAL AUTOPOIESIS. INTERNATIONAL JOURNAL OF GENERAL SYSTEMS, 21 (2). pp. 229-236. ISSN 0308-1079.
UNSPECIFIED (1994) THE PROPAGATION OF TRAVELING WAVES FOR STOCHASTIC GENERALIZED KPP EQUATIONS. MATHEMATICAL AND COMPUTER MODELLING, 20 (4-5). pp. 131-166. ISSN 0895-7177.
UNSPECIFIED (2000) The PSIPRED protein structure prediction server. BIOINFORMATICS, 16 (4). pp. 404-405. ISSN 1367-4803.
UNSPECIFIED (2002) Parallel priority queue and list contraction: The BSP approach. COMPUTING AND INFORMATICS, 21 (1). pp. 59-90. ISSN 1335-9150.
UNSPECIFIED (1998) Partial confluence of processes and systems of objects. THEORETICAL COMPUTER SCIENCE, 206 (1-2). pp. 127-162. ISSN 0304-3975.
UNSPECIFIED (2002) Perfect simulation for correlated Poisson random variables conditioned to be positive. STATISTICS AND COMPUTING, 12 (3). pp. 229-243. ISSN 0960-3174.
UNSPECIFIED (1999) Perfect simulation in stochastic geometry. PATTERN RECOGNITION, 32 (9). pp. 1569-1586. ISSN 0031-3203.
UNSPECIFIED (2000) Performance evaluation of a bus-based multistage multiprocessor architecture. JOURNAL OF SYSTEMS ARCHITECTURE, 46 (1). pp. 39-47. ISSN 1383-7621.
UNSPECIFIED (2000) Performance optimization of financial option calculations. PARALLEL COMPUTING, 26 (5). pp. 623-639. ISSN 0167-8191.
UNSPECIFIED (1996) Pipelining the Givens linear solver on distributed memory machines. SUPERCOMPUTER, 12 (3). pp. 37-43. ISSN 0168-7875.
UNSPECIFIED (1998) Planktonic interactions and chaotic advection in Langmuir circulation. MATHEMATICS AND COMPUTERS IN SIMULATION, 44 (6). pp. 527-544. ISSN 0378-4754.
UNSPECIFIED (2000) Prediction of turbulent oscillatory flows in complex systems. INTERNATIONAL JOURNAL FOR NUMERICAL METHODS IN FLUIDS, 33 (6). pp. 869-895. ISSN 0271-2091.
UNSPECIFIED (1998) Problems, knowledge, solutions: solving complex problems - a response to Enid Mumford's paper ICIS 1998, Helsinki, Finland. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 7 (4). pp. 271-274. ISSN 0963-8687.
UNSPECIFIED (1998) Programming the EDSAC: Early programming activity at the University of Cambridge. IEEE ANNALS OF THE HISTORY OF COMPUTING, 20 (4). pp. 46-67. ISSN 1058-6180.
UNSPECIFIED (1989) REALIZATION-THEORY IN HILBERT-SPACE. MATHEMATICAL SYSTEMS THEORY, 21 (3). pp. 147-164. ISSN 0025-5661.
UNSPECIFIED (1994) A REFLECTIVE PRODUCTION SYSTEM. KYBERNETES, 23 (3). pp. 20-35. ISSN 0368-492X.
UNSPECIFIED (1993) REGIONAL CONTROLLABILITY OF DISTRIBUTED SYSTEMS. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 185 . pp. 326-335. ISSN 0170-8643.
UNSPECIFIED (1986) RELEVANT PROBABILITY-THEORY. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 63-91. ISSN 0170-8643.
UNSPECIFIED (1986) RELEVANT STATISTICAL-THEORY. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 92-115. ISSN 0170-8643.
UNSPECIFIED (1985) REPLACEABILITY AND COMPUTATIONAL EQUIVALENCE FOR MONOTONE BOOLEAN FUNCTIONS. ACTA INFORMATICA, 22 (4). pp. 433-449. ISSN 0001-5903.
UNSPECIFIED (1984) REPLACEMENT IN MONOTONE BOOLEAN NETWORKS - AN ALGEBRAIC PERSPECTIVE. LECTURE NOTES IN COMPUTER SCIENCE, 181 . pp. 165-178. ISSN 0302-9743.
UNSPECIFIED (1993) RESEARCH ISSUES IN INFORMATION-SYSTEMS. JOURNAL OF INFORMATION TECHNOLOGY, 8 (2). pp. 92-98. ISSN 0268-3962.
UNSPECIFIED (1995) THE ROLE OF FEEDBACK IN ASSESSING INFORMATION-SYSTEMS PLANNING EFFECTIVENESS. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 4 (1). pp. 61-80. ISSN 0963-8687.
UNSPECIFIED (2004) Random sampling of 3-colorings in Z(2). RANDOM STRUCTURES & ALGORITHMS, 24 (3). pp. 279-302. doi:10.1002/rsa.20002 ISSN 1042-9832.
UNSPECIFIED (2006) Rapidly mixing Markov chains for sampling contingency tables with a constant number of rows. SIAM JOURNAL ON COMPUTING, 36 (1). pp. 247-278. doi:10.1137/S0097539703434243 ISSN 0097-5397.
UNSPECIFIED (2001) Realistic image synthesis of plant structures for genetic analysis. IMAGE AND VISION COMPUTING, 19 (8). pp. 517-522. ISSN 0262-8856.
UNSPECIFIED (2003) Reasoning about temporal relations: The tractable subalgebras of Allen's interval algebra. JOURNAL OF THE ACM, 50 (5). pp. 591-640. ISSN 0004-5411.
UNSPECIFIED (2004) Responsiveness of interoperating components. FORMAL ASPECTS OF COMPUTING, 16 (4). pp. 394-411. doi:10.1007/s00165-004-0050-9 ISSN 0934-5043.
UNSPECIFIED (1996) Risk management for information systems development. JOURNAL OF INFORMATION TECHNOLOGY, 11 (4). pp. 309-319. ISSN 0268-3962.
UNSPECIFIED (2004) Rob Tristan Gerth: 1956-2003. COMPUTER AIDED VERIFICATION, 3114 . pp. 1-14. ISSN 0302-9743.
UNSPECIFIED (2005) Robotic-cell scheduling: Special polynomially solvable cases of the traveling salesman problem on permuted Monge matrices. JOURNAL OF COMBINATORIAL OPTIMIZATION, 9 (4). pp. 381-399. ISSN 1382-6905.
UNSPECIFIED (1997) Roof edge detection using regularized cubic B-spline fitting. PATTERN RECOGNITION, 30 (5). pp. 719-728. ISSN 0031-3203.
UNSPECIFIED (1994) A SELF-EVALUATING EXPERT-SYSTEM FOR X-RAY ROCKING CURVE ANALYSIS. INTERNATIONAL JOURNAL OF INTELLIGENT SYSTEMS, 9 (6). pp. 493-517. ISSN 0884-8173.
UNSPECIFIED (1988) SEMANTICS OF REAL-TIME DISTRIBUTED PROGRAMS. LECTURE NOTES IN COMPUTER SCIENCE, 335 . pp. 292-306. ISSN 0302-9743.
UNSPECIFIED (1991) THE SET OF MINIMAL BRAIDS IS CO-NP-COMPLETE. JOURNAL OF ALGORITHMS, 12 (3). pp. 393-408. ISSN 0196-6774.
UNSPECIFIED (1993) A SHORT PROOF OF THE DILATION OF TOROIDAL MESH IN A PATH. INFORMATION PROCESSING LETTERS, 48 (4). pp. 197-199. ISSN 0020-0190.
UNSPECIFIED (1993) SHRINKAGE OF DE MORGAN FORMULAS UNDER RESTRICTION. RANDOM STRUCTURES & ALGORITHMS, 4 (2). pp. 135-150. ISSN 1042-9832.
UNSPECIFIED (1986) SIGNAL ANALYSIS-I. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 3-21. ISSN 0170-8643.
UNSPECIFIED (1986) SIGNAL ANALYSIS-II. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 143-154. ISSN 0170-8643.
UNSPECIFIED (2003) SMEs, co-opetition and knowledge sharing: the role of information systems. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 12 (1). pp. 3-17. doi:10.1057/palgrave.ejis.3000439 ISSN 0960-085X.
UNSPECIFIED (2001) SMEs: aligning IS and the strategic context. JOURNAL OF INFORMATION TECHNOLOGY, 16 (3). pp. 133-144. ISSN 0268-3962.
UNSPECIFIED (1992) SOMETIMES SOME IS AS GOOD AS ALL. LECTURE NOTES IN COMPUTER SCIENCE, 630 . pp. 192-206. ISSN 0302-9743.
UNSPECIFIED (1987) A STABILITY RADIUS FOR INFINITE DIMENSIONAL SYSTEMS. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 102 . pp. 272-291. ISSN 0170-8643.
UNSPECIFIED (1988) A STANDARD FOR A GRAPH REPRESENTATION FOR FUNCTIONAL PROGRAMS. SIGPLAN NOTICES, 23 (1). pp. 75-82. ISSN 0362-1340.
UNSPECIFIED (1986) STOCHASTIC METHODS AND ENGINEERING SURFACES. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 339-357. ISSN 0170-8643.
UNSPECIFIED (1983) STORAGE REQUIREMENTS FOR FAIR SCHEDULING. INFORMATION PROCESSING LETTERS, 17 (5). pp. 249-250. ISSN 0020-0190.
UNSPECIFIED (1995) STRATEGIC INFORMATION-SYSTEMS PLANNING - DERIVING COMPARATIVE ADVANTAGE FROM EDI. JOURNAL OF INFORMATION TECHNOLOGY, 10 (3). pp. 149-157. ISSN 0268-3962.
UNSPECIFIED (1988) STRATEGIC OPTIONS FOR CIM - TECHNOLOGY-CENTERED VERSUS HUMAN-CENTERED SYSTEMS-DESIGN. COMPUTER INTEGRATED MANUFACTURING SYSTEMS, 1 (2). pp. 75-81. ISSN 0951-5240.
UNSPECIFIED (1993) A STUDY AND MODIFICATION OF THE LOCAL HISTOGRAM EQUALIZATION ALGORITHM. PATTERN RECOGNITION, 26 (9). pp. 1373-1381. ISSN 0031-3203.
UNSPECIFIED (1986) SYSTEMS ANALYSIS-I. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 22-35. ISSN 0170-8643.
UNSPECIFIED (1986) SYSTEMS ANALYSIS-II. LECTURE NOTES IN CONTROL AND INFORMATION SCIENCES, 79 . pp. 116-142. ISSN 0170-8643.
UNSPECIFIED (1996) Self-similar neural networks based on a Kohonen learning rule. NEURAL NETWORKS, 9 (5). pp. 747-763. ISSN 0893-6080.
UNSPECIFIED (2000) Simple optimal parallel multiple pattern matching. JOURNAL OF ALGORITHMS, 34 (1). pp. 1-13. ISSN 0196-6774.
UNSPECIFIED (1997) Simulating without negation. JOURNAL OF LOGIC AND COMPUTATION, 7 (4). pp. 501-522. ISSN 0955-792X.
UNSPECIFIED (1999) Simulation verification, validation and confidence: A tutorial. TRANSACTIONS OF THE SOCIETY FOR COMPUTER SIMULATION INTERNATIONAL, 16 (2). pp. 63-69. ISSN 0740-6797.
UNSPECIFIED (2002) Sites of construction: language learning, multimedia, and the international engineer. COMPUTERS & EDUCATION, 39 (2). pp. 129-143. ISSN 0360-1315.
UNSPECIFIED (1999) Size invariant circle detection. IMAGE AND VISION COMPUTING, 17 (11). pp. 795-803. ISSN 0262-8856.
UNSPECIFIED (2005) Social ties, knowledge sharing and successful collaboration in globally distributed system development projects. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 14 (1). pp. 37-48. doi:10.1057/palgrave.ejis.3000520 ISSN 0960-085X.
UNSPECIFIED (1999) Specification and verification of fault-tolerance, timing, and scheduling. ACM TRANSACTIONS ON PROGRAMMING LANGUAGES AND SYSTEMS, 21 (1). pp. 46-89. ISSN 0164-0925.
UNSPECIFIED (2001) Spreadability and evolving interfaces. INTERNATIONAL JOURNAL OF SYSTEMS SCIENCE, 32 (10). pp. 1217-1232. ISSN 0020-7721.
UNSPECIFIED (2006) Stack-like and queue-like dynamics in recurrent neural networks. Connection Science, Volume 18 (Number 1). pp. 23-42. doi:10.1080/09540090500317291 ISSN 0954-0091.
UNSPECIFIED (2001) Stiff oscillatory systems, delta jumps and white noise. FOUNDATIONS OF COMPUTATIONAL MATHEMATICS, 1 (1). pp. 69-99. ISSN 1615-3375.
UNSPECIFIED (2005) Strong spatial mixing with fewer colors for lattice graphs. SIAM JOURNAL ON COMPUTING, 35 (2). pp. 486-517. doi:10.1137/S0097539704445470 ISSN 0097-5397.
UNSPECIFIED (1989) TAXONOMIC REASONING WITH MANY-SORTED LOGICS. ARTIFICIAL INTELLIGENCE REVIEW, 3 (2-3). pp. 89-128. ISSN 0269-2821.
UNSPECIFIED (1991) A TEMPORAL APPROACH TO REQUIREMENTS SPECIFICATION OF REAL-TIME SYSTEMS. LECTURE NOTES IN COMPUTER SCIENCE, 571 . pp. 341-361. ISSN 0302-9743.
UNSPECIFIED (1995) TIGHTER LOWER BOUNDS ON THE EXACT COMPLEXITY OF STRING-MATCHING. SIAM JOURNAL ON COMPUTING, 24 (1). pp. 30-45. ISSN 0097-5397.
UNSPECIFIED (1989) TIME AND REAL-TIME IN PROGRAMS. LECTURE NOTES IN COMPUTER SCIENCE, 405 . pp. 312-324. ISSN 0302-9743.
UNSPECIFIED (1992) TRANSFORMING LEGAL LEARNING. COMPUTERS & EDUCATION, 19 (1-2). pp. 113-124. ISSN 0360-1315.
UNSPECIFIED (2003) Tabulating the heavens: Computing the Nautical Almanac in 18th-century England. IEEE ANNALS OF THE HISTORY OF COMPUTING, 25 (3). pp. 48-61. ISSN 1058-6180.
UNSPECIFIED (1996) Time compression in the supply chain. INDUSTRIAL MANAGEMENT & DATA SYSTEMS, 96 (2). 12-&. ISSN 0263-5577.
UNSPECIFIED (1997) Training a feed-forward network by feeding gradients forward rather than by back-propagation of errors. NEUROCOMPUTING, 16 (2). pp. 117-126. ISSN 0925-2312.
UNSPECIFIED (1995) UNCERTAINTY IN DECISION-MAKING - AN ABDUCTIVE PERSPECTIVE. DECISION SUPPORT SYSTEMS, 13 (2). pp. 183-193. ISSN 0167-9236.
UNSPECIFIED (1984) UNDECIDABILITY OF PDL WITH L=(A2I/I-GREATER-THAN-OR-EQUAL-TO-O). JOURNAL OF COMPUTER AND SYSTEM SCIENCES, 29 (3). pp. 359-365. ISSN 0022-0000.
UNSPECIFIED (1995) UPPER-BOUNDS FOR THE EXPECTED LENGTH OF A LONGEST COMMON SUBSEQUENCE OF 2 BINARY SEQUENCES. RANDOM STRUCTURES & ALGORITHMS, 6 (4). pp. 449-458. ISSN 1042-9832.
UNSPECIFIED (1991) THE USE OF KNUTH-BENDIX METHODS TO SOLVE THE WORD PROBLEM IN AUTOMATIC GROUPS. JOURNAL OF SYMBOLIC COMPUTATION, 12 (4-5). pp. 397-414. ISSN 0747-7171.
UNSPECIFIED (1991) USING A SEGREGATED FINITE-ELEMENT SCHEME TO SOLVE THE INCOMPRESSIBLE NAVIER-STOKES EQUATIONS. INTERNATIONAL JOURNAL FOR NUMERICAL METHODS IN FLUIDS, 12 (1). pp. 81-92. ISSN 0271-2091.
UNSPECIFIED (2001) Underresolved simulations of heat baths. JOURNAL OF COMPUTATIONAL PHYSICS, 169 (1). pp. 193-214. doi:10.1006/jcph.2001.6722 ISSN 0021-9991.
UNSPECIFIED (2001) Unsupervised image segmentation combining region and boundary. IMAGE AND VISION COMPUTING, 19 (6). pp. 353-368. ISSN 0262-8856.
UNSPECIFIED (1996) Using a feed-forward network to incorporate the relation between attractees and attractors in a generalized discrete Hopfield network. INTERNATIONAL JOURNAL OF NEURAL SYSTEMS, 7 (3). pp. 273-286. ISSN 0129-0657.
UNSPECIFIED (2003) Using multi-layer perceptrons to predict vehicle pass-by noise. NEURAL COMPUTING & APPLICATIONS, 11 (3-4). pp. 161-167. ISSN 0941-0643.
UNSPECIFIED (2002) Using noise to compute error surfaces in connectionist networks: A novel means of reducing catastrophic forgetting. NEURAL COMPUTATION, 14 (7). pp. 1755-1769. ISSN 0899-7667.
UNSPECIFIED (1991) VERTICAL AND HORIZONTAL DISPARITIES FROM PHASE. IMAGE AND VISION COMPUTING, 9 (5). pp. 296-302. ISSN 0262-8856.
UNSPECIFIED (1990) VERTICAL AND HORIZONTAL DISPARITIES FROM PHASE. LECTURE NOTES IN COMPUTER SCIENCE, 427 . pp. 315-325. ISSN 0302-9743.
UNSPECIFIED (1989) WORST CASE COMPLEXITY-BOUNDS ON ALGORITHMS FOR COMPUTING THE CANONICAL STRUCTURE OF FINITE ABELIAN-GROUPS AND THE HERMITE AND SMITH NORMAL FORMS OF AN INTEGER MATRIX. SIAM JOURNAL ON COMPUTING, 18 (4). pp. 658-669. ISSN 0097-5397.
UNSPECIFIED (1989) WORST CASE COMPLEXITY-BOUNDS ON ALGORITHMS FOR COMPUTING THE CANONICAL STRUCTURE OF INFINITE ABELIAN-GROUPS AND SOLVING SYSTEMS OF LINEAR DIOPHANTINE EQUATIONS. SIAM JOURNAL ON COMPUTING, 18 (4). pp. 670-678. ISSN 0097-5397.
UNSPECIFIED (2001) What are the baselines for protein fold recognition? BIOINFORMATICS, 17 (1). pp. 63-72. ISSN 1367-4803.
UNSPECIFIED (2003) What drives the configuration of information technology projects? Exploratory research in 10 organizations. JOURNAL OF INFORMATION TECHNOLOGY, 18 (1). pp. 1-15. doi:10.1080/0268396031000077413 ISSN 0268-3962.
UNSPECIFIED (2004) Zonal k-1 based large eddy simulations. COMPUTERS & FLUIDS, 33 (2). pp. 267-287. doi:10.1016/S0045-7930(03)00039-2 ISSN 0045-7930.
UNSPECIFIED (1998) An algebraic computational approach to the identifiability of Fourier models. JOURNAL OF SYMBOLIC COMPUTATION, 26 (2). pp. 245-260. ISSN 0747-7171.
UNSPECIFIED (2004) An alternative architectural framework to the OSI security model. COMPUTERS & SECURITY, 23 (2). pp. 137-153. doi:10.1016/j.cose.2003.09.001 ISSN 0167-4048.
UNSPECIFIED (1996) An analysis of hexagonal thinning algorithms and skeletal shape representation. PATTERN RECOGNITION, 29 (7). pp. 1131-1146. ISSN 0031-3203.
UNSPECIFIED (2001) The application of KBE techniques to the FE model creation of an automotive body structure. COMPUTERS IN INDUSTRY, 44 (1). pp. 1-10. ISSN 0166-3615.
UNSPECIFIED (1995) An approach to business process reengineering: The contribution of socio-technical and soft or concepts. INFOR, 33 (4). pp. 263-278. ISSN 0315-5986.
UNSPECIFIED (2004) A bound on the capacity of backoff and acknowledgment-based protocols. SIAM JOURNAL ON COMPUTING, 33 (2). pp. 313-331. doi:10.1137/S0097539700381851 ISSN 0097-5397.
UNSPECIFIED (2002) A classification schema of manufacturing decisions for the GRAI enterprise modelling technique. COMPUTERS IN INDUSTRY, 47 (3). pp. 339-355. ISSN 0166-3615.
UNSPECIFIED (1999) A comparison of electronic-reliability prediction models. IEEE TRANSACTIONS ON RELIABILITY, 48 (2). pp. 127-134. ISSN 0018-9529.
UNSPECIFIED (2005) A comparison of semiparametric estimators for the ordered response model. COMPUTATIONAL STATISTICS & DATA ANALYSIS, 49 (2). pp. 555-573. doi:10.1016/j.csda.2004.05.027 ISSN 0167-9473.
UNSPECIFIED (2001) The complexity of gene placement. JOURNAL OF ALGORITHMS-COGNITION INFORMATICS AND LOGIC, 41 (2). pp. 225-243. doi:10.1006/jagm.2001.1172 ISSN 0196-6774.
UNSPECIFIED (1996) The complexity of mean payoff games on graphs. THEORETICAL COMPUTER SCIENCE, 158 (1-2). pp. 343-359. ISSN 0304-3975.
UNSPECIFIED (2001) A conditional density approach to the order determination of time series. STATISTICS AND COMPUTING, 11 (3). pp. 229-240. ISSN 0960-3174.
UNSPECIFIED (2002) A critical comparison of Eulerian-grid-based Vlasov solvers. JOURNAL OF COMPUTATIONAL PHYSICS, 180 (1). pp. 339-357. doi:10.1006/jcph.2002.7098 ISSN 0021-9991.
UNSPECIFIED (1998) A cross-national comparison of the adoption of business process reengineering: fashion-setting networks? JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 7 (4). pp. 299-317. ISSN 0963-8687.
UNSPECIFIED (1998) An efficient Monte Carlo approach to optimization. JOURNAL OF CHEMICAL INFORMATION AND COMPUTER SCIENCES, 38 (5). pp. 791-797. ISSN 0095-2338.
UNSPECIFIED (2000) An extension of path coupling and its application to the Glauber dynamics for graph colorings. SIAM JOURNAL ON COMPUTING, 30 (6). pp. 1962-1975. ISSN 0097-5397.
UNSPECIFIED (1999) The illusion of 'best practice' in information systems for operations management. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 8 (4). pp. 284-293. ISSN 0960-085X.
UNSPECIFIED (1998) The impact of information technology on information asymmetry. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 7 (2). pp. 77-89. ISSN 0960-085X.
UNSPECIFIED (2001) An improved stability bound for binary exponential backoff. THEORY OF COMPUTING SYSTEMS, 34 (3). pp. 229-244. ISSN 1432-4350.
UNSPECIFIED (2000) A neural network approach to predicting airspeed in helicopters. NEURAL COMPUTING & APPLICATIONS, 9 (2). pp. 73-82. ISSN 0941-0643.
UNSPECIFIED (2001) A novel velocity-vorticity formulation of the Navier-Stokes equations with applications to boundary layer disturbance evolution. JOURNAL OF COMPUTATIONAL PHYSICS, 172 (1). pp. 119-165. doi:10.1006/jcph.2001.6817 ISSN 0021-9991.
UNSPECIFIED (1999) An optical simulation of shared memory. SIAM JOURNAL ON COMPUTING, 28 (5). pp. 1829-1847. ISSN 0097-5397.
UNSPECIFIED (2001) A predicative and decidable characterization of the polynomial classes of languages. THEORETICAL COMPUTER SCIENCE, 250 (1-2). pp. 83-99. ISSN 0304-3975.
UNSPECIFIED (2005) A proposed architecture for integrating accessibility test tools. IBM SYSTEMS JOURNAL, 44 (3). pp. 537-555. ISSN 0018-8670.
UNSPECIFIED (2005) A review of data fusion models and architectures: Towards engineering guidelines. NEURAL COMPUTING & APPLICATIONS, 14 (4). pp. 273-281. doi:10.1007/s00521-004-0463-7 ISSN 0941-0643.
UNSPECIFIED (2002) The role of boundaries in knowledge processes. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 11 (1). pp. 47-60. doi:10.1057/palgrave.ejis.3000413 ISSN 0960-085X.
UNSPECIFIED (2000) A rule-based expert system approach to process selection for cast components. KNOWLEDGE-BASED SYSTEMS, 13 (4). pp. 225-234. ISSN 0950-7051.
UNSPECIFIED (2001) A staggered grid, Lagrangian-Eulerian remap code for 3-D MHD simulations. JOURNAL OF COMPUTATIONAL PHYSICS, 171 (1). pp. 151-181. ISSN 0021-9991.
UNSPECIFIED (2000) A study of exponential neighborhoods for the Travelling Salesman Problem and for the Quadratic Assignment Problem. MATHEMATICAL PROGRAMMING, 87 (3). pp. 519-542. ISSN 0025-5610.
Chandrasekaran, R., Chen, Bo, Galambos, Gábor , Narayanan, P. R., Van Vliet, André and Woeginger, Gerhard J. (1997) A note on ''an on-line scheduling heuristic with better worst case ratio than Graham's list scheduling''. SIAM Journal on Computing, 26 (3). pp. 870-872. doi:10.1137/S0097539793258775 ISSN 0097-5397.
Abbas, Ghulam, Mehmood, Amjad, Maple, Carsten, Epiphaniou, Gregory and Lloret, Jaime (2022) Safety, security and privacy in machine learning based Internet of Things. Journal of Sensor and Actuator Networks, 11 (3). e38. doi:10.3390/jsan11030038 ISSN 2224-2708.
Abbasi, Wajid Arshad, Asif, Amina, Ben-Hur, Asa and Minhas, Fayyaz ul Amir Afsar (2018) Learning protein binding affinity using privileged information. BMC Bioinformatics, 19 (1). 425. doi:10.1186/s12859-018-2448-z ISSN 1471-2105.
Abbasi, Wajid Arshad, Asiff, Amina, Andleeb, Saiqa and Minhas, Fayyaz ul Amir Afsar (2017) CaMELS : In silicoprediction of calmodulin binding proteins and their binding sites. Proteins: Structure, Function, and Bioinformatics, 85 (9). pp. 1724-1740. doi:10.1002/prot.25330 ISSN 0887-3585.
Abbasi, Wajid Arshad and Minhas, Fayyaz ul Amir Afsar (2016) Issues in performance evaluation for host–pathogen protein interaction prediction. Journal of Bioinformatics and Computational Biology, 14 (3). 1650011. doi:10.1142/S0219720016500116 ISSN 0219-7200.
Abd-alrazaq, Alaa A., Alajlani, Mohannad, Alhuwail, Dari, Erbad, Aiman, Giannicchi, Anna, Shah, Zubair, Hamdi, Mounir and Househ, Mowafa (2021) Blockchain technologies to mitigate COVID-19 challenges : a scoping review. Computer Methods and Programs in Biomedicine Update, 1 . 100001. doi:10.1016/j.cmpbup.2020.100001 ISSN 2666-9900.
Abeywickrama, Dhaminda B., Bicocchi, Nicola, Mamei, Marco and Zambonelli, Franco (2020) The SOTA approach to engineering collective adaptive systems. International Journal on Software Tools for Technology Transfer, 22 . pp. 399-415. doi:10.1007/s10009-020-00554-3 ISSN 1433-2779.
Abid, Rabia, Rizwan, Muhammad, Veselý, Peter, Basharat, Asma, Tariq, Usman, Javed, Abdul Rehman and Lakshmanna, Kuruva (2022) Social networking security during COVID-19 : a systematic literature review. Wireless Communications and Mobile Computing, 2022 . 2975033. doi:10.1155/2022/2975033 ISSN 1530-8669.
Abulrub, Abdul-Hadi G., Attridge, Alex and Williams, M. A. (Mark A.) (2011) Virtual reality in engineering education: the future of creative learning. International Journal of Emerging Technologies in Learning, Vol.6 (No.4). doi:10.3991/ijet.v6i4.1766 ISSN 1868-8799.
Adamaszek, Anna, Czumaj, Artur, Englert, Matthias and Räcke, Harald (2022) Almost tight bounds for reordering buffer management. SIAM Journal on Computing, 51 (3). pp. 701-722. doi:10.1137/20M1326167 ISSN 0097-5397.
Adamaszek, Anna, Czumaj, Artur, Englert, Matthias and Räcke , Harald (2018) An O(log k)-competitive algorithm for generalized caching. ACM Transactions on Algorithms , 15 (1). pp. 1-18. 6. doi:10.1145/3280826 ISSN 1549-6325.
Adamaszek, Anna, Czumaj, Artur and Lingas, Andrzej (2010) PTAS for k-tour cover problem on the plane for moderately large values of k. International Journal of Foundations of Computer Science, Volume 21 (Number 6). pp. 893-904. doi:10.1142/S0129054110007623 ISSN 0129-0541.
Adamaszek, Michał, Chrząstowski-Wachtel, Piotr and Adamaszek, Anna (2008) VIPER, a student-friendly visual interpreter of Pascal. Lecture Notes in Computer Science, Vol.5090 . pp. 192-203. doi:10.1007/978-3-540-69924-8_18 ISSN 0302-9743.
Adewoyin, Rilwan A., Dueben, Peter, Watson, Peter, He, Yulan and Dutta, Ritabrata (2022) TRU-NET : a deep learning approach to high resolution prediction of rainfall. Machine Learning, 110 (8). pp. 2035-2062. doi:10.1007/s10994-021-06022-6 ISSN 2632-2153.
Adha, Augusta, Pamuncak, Arya Panji, Qiao, Wen and Laory, Irwanda (2022) Automated building classification framework using convolutional neural network. Cogent Engineering, 9 (1). doi:10.1080/23311916.2022.2065900 ISSN 2331-1916.
Adžaga, Nikola, Keller, Timo, Najman, Filip, Michaud-Jacobs, Philippe, Ozman, Ekin and Vukorepa, Borna (2024) Computing quadratic points on modular curves X_0(N). Mathematics of Computation, 93 . pp. 1371-1397. doi:10.1090/mcom/3902 ISSN 0025-5718.
Agarwala, Richa, Bafna, Vineet, Farach, Martin, Paterson, Michael S. and Thorup, Mikkel (1999) On the approximability of numerical taxonomy (fitting distances by tree metrics). SIAM Journal on Computing, Volume 28 (Number 3). pp. 1073-1085. ISSN 0097-5397.
Aggarwal, Vibhor, Debattista, Kurt, Bashford-Rogers, Thomas, Dubla, Piotr and Chalmers, Alan (2012) High-fidelity interactive rendering on desktop grids. IEEE Computer Graphics and Applications, Vol.32 (No.3). pp. 24-36. doi:10.1109/MCG.2010.67 ISSN 0272-1716.
Ahmad, Asif, Asif, Amina, Rajpoot, Nasir, Arif, Muhammad and Minhas, Fayyaz ul Amir Afsar (2018) Correlation filters for detection of cellular nuclei in histopathology images. Journal of Medical Systems, 42 (7). doi:10.1007/s10916-017-0863-8 ISSN 0148-5598 .
Ahmad, Bilal, Vera, Daniel A. and Harrison, Robert (2016) Engineering methods and tools for cyber–physical automation systems. Proceedings of the IEEE , PP (99). pp. 1-13. doi:10.1109/JPROC.2015.2510665 ISSN 0018-9219.
Ahmadi-Assalemi, Gabriela, Al-Khateeb, Haider, Epiphaniou, Gregory and Aggoun, Amar (2022) Super learner ensemble for anomaly detection and cyber-risk quantification in industrial control systems. IEEE Internet of Things Journal, 9 (15). pp. 13279-13297. doi:10.1109/JIOT.2022.3144127 ISSN 2327-4662.
Ahmed, Sadia E., McInerny, Greg J., O'Hara, Kenton, Harper, Richard, Salido, Lara, Emmott, Stephen and Joppa, Lucas N. (2015) Scientists and software - surveying the species distribution modelling community. Diversity and Distributions, 21 (3). pp. 258-267. doi:10.1111/ddi.12305 ISSN 1366-9516.
Ahn, Kook Jin, Cormode, Graham, Guha, Sudipto, McGregor, Andrew and Wirth, Anthony (2021) Correlation clustering in data streams. Algorithmica, 83 . pp. 1980-2017. doi:10.1007/s00453-021-00816-9 ISSN 0178-4617.
Aichholzer, Oswin, Cardinal, Jean, Huynh, Tony, Knauer, Kolja, Mutze, Torsten, Steiner, Raphael and Vogtenhuber, Birgit (2021) Flip distances between graph orientations. Algorithmica, 83 . pp. 116-143. doi:10.1007/s00453-020-00751-1 ISSN 0178-4617.
Al Qudah, Dana and Cristea, Alexandra I. (2013) MyAds : a proposed adaptive social online advertising framework. Journal of Economics, Business and Management, Volume 1 (Number 4). pp. 401-405. doi:10.7763/JOEBM.2013.V1.86 ISSN 2301-3567.
Al Sebae, Alaa, Leeson, Mark S. and Green, Roger (2014) On modelling network coded ARQ-based channels. International Journal of Space-Based and Situated Computing, 4 (2). pp. 65-76. ISSN 2044-4893.
Al-Bawani, Kamal, Englert, Matthias and Westermann, Matthias (2018) Comparison-based buffer management in QoS switches. Algorithmica, 80 (3). pp. 1073-1092. doi:10.1007/s00453-017-0393-2 ISSN 0178-4617.
Al-Bawani, Kamal, Englert, Matthias and Westermann, Matthias (2018) Online packet scheduling for CIOQ and buffered crossbar switches. Algorithmica, 80 . pp. 3861-3888. doi:10.1007/s00453-018-0421-x ISSN 0178-4617.
Al-Fraihat, Dimah, Alzaidi, Maram and Joy, Mike (2023) Why do consumers adopt smart voice assistants for shopping purposes? A perspective from complexity theory. Intelligent Systems with Applications, 18 . 200230. doi:10.1016/j.iswa.2023.200230 ISSN 26673053.
Al-Fraihat, Dimah, Joy, Mike, Masa'deh, Ra'ed and Sinclair, Jane (2020) Evaluating E-learning systems success : an empirical study. Computers in Human Behavior, 102 . pp. 67-86. doi:10.1016/j.chb.2019.08.004 ISSN 0747-5632.
Al-Jarrah, Omar Y., Haloui, Karim El, Dianati, Mehrdad and Maple, Carsten (2023) A novel detection approach of unknown cyber-attacks for intra-vehicle networks using recurrence plots and neural networks. IEEE Open Journal of Vehicular Technology, 4 . pp. 271-280. doi:10.1109/OJVT.2023.3237802 ISSN 2644-1330.
AlShahrani, Abdulaziz, Mann, Scott and Joy, Mike (2017) Immediate feedback : a new mechanism for real-time feedback on classroom teaching practice. International Journal on Integrating Technology in Education (IJITE), 6 (2). pp. 17-32. doi:10.5121/ijite.2017.6202 ISSN 2320-1886.
Alalayah, Khaled M., Senan, Ebrahim Mohammed, Atlam, Hany F., Ahmed, Ibrahim Abdulrab and Shatnawi, Hamzeh Salameh Ahmad (2023) Effective early detection of epileptic seizures through EEG signals using classification algorithms based on t-distributed stochastic neighbor embedding and K-means. Diagnostics, 13 (11). 1957. doi:10.3390/diagnostics13111957 ISSN 2075-4418.
Alamri, Hamad, Maple, Carsten, Mohamad, Saad and Epiphaniou, Gregory (2022) Do the right thing : a privacy policy adherence analysis of over two million apps in Apple iOS App Store. Sensors, 22 (22). 8964. doi:10.3390/s22228964 ISSN 1424-8220.
Alanyali, Merve, Preis, Tobias and Moat, Helen Susannah (2016) Tracking protests using geotagged Flickr photographs. PLoS One, 11 (3). pp. 1-8. e0150466. doi:10.1371/journal.pone.0150466 ISSN 1932-6203.
Alba, Charles, Walasek, Lukasz and Spektor, Mikhail S. (2024) Attention-driven imitation in consumer reviews. Decision . ISSN 2325-9965. (In Press)
Albrecht, Martin, Bard, Gregory and Hart, William B. (2010) Algorithm 898 : efficient multiplication of dense matrices over GF(2). ACM Transactions on Mathematical Software, Volume 37 (Number 1). Article: 9. doi:10.1145/1644001.1644010 ISSN 0098-3500.
Albuquerque, João Porto de , Herfort, Benjamin and Eckle, Melanie (2016) The tasks of the crowd : a typology of tasks in geographic information crowdsourcing and a case study in humanitarian mapping. Remote Sensing, 8 (10). 859. doi:10.3390/RS8100859 ISSN 2072-4292.
Aldrich, Richard J. and Karatzogianni, Athina (2020) Postdigital war beneath the sea? The Stack’s underwater cable insecurity. Digital War, 1 . pp. 29-35. doi:10.1057/s42984-020-00014-x ISSN 2662-1975.
Aldrich, Richard J., Müller, Peter F., Ridd, David and Schmidt-Eenboom, Erich (2020) Operation Rubicon : sixty years of German-American success in signals intelligence. Intelligence and National Security, 35 (5). pp. 603-607. doi:10.1080/02684527.2020.1774849 ISSN 0268-4527.
Alecu, Bogdan, Atminas, Aistis and Lozin, Vadim V. (2021) Graph functionality. Journal of Combinatorial Theory, Series B, 147 . pp. 139-158. doi:10.1016/j.jctb.2020.11.002 ISSN 0095-8956.
Alecu, Bogdan, Kanté, Mamadou Moustapha, Lozin, Vadim V. and Zamaraev, Viktor (2020) Between clique-width and linear clique-width of bipartite graphs. Discrete Mathematics, 343 (8). 111926. doi:10.1016/j.disc.2020.111926 ISSN 0012-365X.
Alekseev, V. E., Boliac, R., Korobitsyn, D. V. and Lozin, Vadim V. (2007) NP-hard graph problems and boundary classes of graphs. Theoretical Computer Science, Vol.389 (No.1-2). pp. 219-236. doi:10.1016/j.tcs.2007.09.013 ISSN 0304-3975.
Alhanahnah, Mohannad J., Jhumka, Arshad and Alouneh, Sahel (2016) A multi-dimension taxonomy of insider threats in cloud computing. The Computer Journal, 59 (11). pp. 1612-1622. doi:10.1093/comjnl/bxw020 ISSN 0010-4620.
Alkan, Bugra and Harrison, Robert (2019) A virtual engineering based approach to verify structural complexity of component-based automation systems in early design phase. Journal of Manufacturing Systems, 53 . pp. 18-31. doi:10.1016/j.jmsy.2019.09.001 ISSN 0278-6125.
Alkindi, A. M., Kerbyson, D. J., Papaefstathiou, E. and Nudd, G. R. (2001) Dynamic optimisation of application execution on distributed systems. Future Generation Computing Systems, Volume 17 (Number 8). pp. 941-949. ISSN 0167-739X.
Allison, I. and Merali, Y. (2007) Software process improvement as emergent change: a structurational analysis. Information and Software Technology, Vol.49 (No.6). pp. 668-681. doi:10.1016/j.infsof.2007.02.003 ISSN 0950-5849.
(2016) Recent developments in Geant4. Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, 835 . pp. 186-225. doi:10.1016/j.nima.2016.06.125 ISSN 0168-9002.
Alluhaibi, Osama, Kampert, Erik, Jennings, Paul. A. and Higgins, Matthew D. (2019) Impact of overlapped AoAs on the achievable uplink rate of hybrid beamforming for massive MIMO mm-Wave Systems for industrial environments. IEEE Access, 7 . pp. 101178-101194. doi:10.1109/ACCESS.2019.2930746 ISSN 2169-3536.
Almagor, Shaull, Chistikov, Dmitry, Ouaknine, Joël and Worrell, James (2022) O-minimal invariants for discrete-time dynamical systems. ACM Transactions on Computational Logic (TOCL), 23 (2). pp. 1-20. 9. doi:10.1145/3501299 ISSN 1529-3785.
Aloisio, Mario (2007) Computing at the Malta statistics office, 1947-1970. IEEE Annals of the History of Computing, Vol.29 (No.3). pp. 49-61. doi:10.1109/MAHC.2007.4338442 ISSN 1058-6180.
Alpern, Steve (2019) Search for an immobile Hider in a known subset of a network. Theoretical Computer Science, 794 . pp. 20-26. doi:10.1016/j.tcs.2018.06.022 ISSN 0304-3975.
Alsop, K., Baier, Waltraud, Norman, D. G., Burnett, B. and Williams, M. A. (2021) Accurate prediction of saw blade thicknesses from false start measurements. Forensic Science International, 318 . 110602. doi:10.1016/j.forsciint.2020.110602 ISSN 0379-0738.
Amanat, Amna, Rizwan, Muhammad, Maple, Carsten, Zikria, Yousaf Bin, Almadhor, Ahmad S. and Kim, Sung Won (2022) Blockchain and cloud computing-based secure electronic healthcare records storage and sharing. Frontiers in Public Health, 10 . 938707. doi:10.3389/fpubh.2022.938707 ISSN 2296-2565.
Amos, Martyn, Hodgson, D. A. and Gibbons, Alan (Alan M.) (2007) Bacterial self-organisation and computation. International Journal of Unconventional Computing, Volume 3 (Number 3). pp. 199-210. ISSN 1548-7199.
Anagnostopoulos, Christos and Triantafillou, Peter (2020) Large-scale predictive modeling and analytics through regression queries in data management systems. International Journal of Data Science and Analytics, 9 (1). pp. 17-55. doi:10.1007/s41060-018-0163-5 ISSN 2364-415X.
Anand, Sarabjot Singh, Bell, D. A. and Hughes, J. G. (1996) EDM : A general framework for data mining based on evidence theory. Data and Knowledge Engineering, Volume 18 (Number 3). pp. 189-223. doi:10.1016/0169-023X(95)00038-T ISSN 0169-023X.
Anand, Sarabjot Singh, Grobelnik, Marko, Herrmann, Frank, Hornick, Mark, Lingenfelder, Christoph, Rooney, Niall and Wettschereck, Dietrich (2007) Knowledge discovery standards. Artificial Intelligence Review, Volume 27 (Number 1). pp. 21-56. doi:10.1007/s10462-008-9067-4 ISSN 0269-2821.
Anand, Sarabjot Singh, Jhumka, Arshad and Wade, Kimberley A. (2011) Towards the ordering of events from multiple textual evidence sources. International Journal of Digital Crime and Forensics, Vol.3 (No.2). pp. 16-34. doi:10.4018/jdcf.2011040102 ISSN 1941-6210.
Anand, Sarabjot Singh, Kearney, Patricia and Shapcott, Mary (2007) Generating semantically enriched user profiles for web personalization. ACM Transactions on Internet Technology, Volume 7 (Number 4). Article number 22. doi:10.1145/1278366.1278371 ISSN 1533-5399.
Anand, Sarabjot Singh, Patrick, A. R., Hughes, J. G. and Bell, D. A. (1998) A data mining methodology for cross sales. Knowledge-Based Systems, Volume 10 (Number 7). pp. 449-461. ISSN 0950-7051.
Anande, T. J. and Leeson, Mark S. (2022) Generative Adversarial Networks (GANs) : a survey of network traffic generation. International Journal of Machine Learning and Computing, 12 (6). pp. 333-343. doi:10.18178/ijmlc.2022.12.6.1120 ISSN 2010-3700.
Anande, Tertsegha J., Al-Saadi, Sami and Leeson, Mark S. (2023) Generative adversarial networks for network traffic feature generation. International Journal of Computers and Applications, 45 (4). pp. 297-305. doi:10.1080/1206212x.2023.2191072 ISSN 1925-7074.
Aprigliano, Valentina, Emiliozzi, Simone, Guaitoli, Gabriele, Luciani, Andrea, Marcucci, Juri and Monteforte, Libero (2023) The power of text-based indicators in forecasting Italian economic activity. International Journal of Forecasting, 39 (2). pp. 791-808. doi:10.1016/j.ijforecast.2022.02.006 ISSN 0169-2070.
Arana Catania, Miguel, van Lier, Felix, Procter, Rob, Tkachenko, Nataliya, He, Yulan, Zubiaga, Arkaitz and Liakata, Maria (2021) Citizen participation and machine learning for a better democracy. Digital Government: Research and Practice, 2 (3). pp. 1-22. 27. doi:10.1145/3452118 ISSN 2639-0175.
Arana-Catania, Miguel, van Lier, Felix-Anselm and Procter, Rob (2022) Supporting peace negotiations in the Yemen war through machine learning. Data and Policy, 4 . e28. doi:10.1017/dap.2022.19 ISSN 2632-3249.
Archbold, James and Griffiths, Nathan (2018) Manipulating concept spread using concept relationships. PLoS One, 13 (6). e0199845. doi:10.1371/journal.pone.0199845 ISSN 1932-6203.
Arif, Muhammad, Rajpoot, Nasir M. (Nasir Mahmood), Nattkemper, Tim W., Technow, Ulrike, Chakraborty, Trinad, Fisch, Nicole, Jensen, Nickels A. and Niehaus, Karsten (2011) Quantification of cell infection caused by Listeria monocytogenes invasion. Journal of Biotechnology, Vol.154 (No.1). pp. 76-83. doi:10.1016/j.jbiotec.2011.03.008 ISSN 0168-1656.
Arije, Olujide, Madan, Jason and Hlungwani, Tintswalo (2022) Quality of sexual and reproductive health services for adolescents and young people in public health facilities in Southwest Nigeria : a mystery client study. Global Health Action, 15 (1). 2145690. doi:10.1080/16549716.2022.2145690 ISSN 1654-9880.
Arjmandi, Hamidreza, Zoofaghari, Mohammad and Noel, Adam (2019) Diffusive molecular communication in a biological spherical environment with partially absorbing boundary. IEEE Transactions on Communications, 67 (10). pp. 6858-6867. doi:10.1109/TCOMM.2019.2926086 ISSN 0090-6778.
Armond, Jonathan W., Vladimirou, Elina, McAinsh, Andrew D. and Burroughs, Nigel John (2016) KiT : a MATLAB package for kinetochore tracking. Bioinformatics, 32 (12). pp. 1917-1919. doi:10.1093/bioinformatics/btw087 ISSN 1367-4803.
Armoutis, N., Maropoulos, P. G. (Paul G.), Matthews, Peter C. and Lomas, C. D. W. (2008) Establishing agile supply networks through competence profiling. International Journal of Computer Integrated Manufacturing , Vol.21 (No.2). pp. 166-173. doi:10.1080/09511920701607683 ISSN 0951-192X.
(2018) Automatic vetting of planet candidates from ground based surveys : machine learning with NGTS. Monthly Notices of the Royal Astronomical Society, 478 (3). pp. 4225-4237. doi:10.1093/mnras/sty1313 ISSN 0035-8711.
Arnold, Eduardo, Mozaffari, Sajjad and Dianati, Mehrdad (2022) Fast and robust registration of partially overlapping point clouds. IEEE Robotics and Automation Letters, 7 (2). pp. 1502-1509. doi:10.1109/LRA.2021.3137888 ISSN 2377-3766.
Arora, A., Barrett, M., Lee, E., Oborn, Eivor and Prince, K. (2023) Risk and the future of AI : algorithmic bias, data colonialism, and marginalization. Information and Organization, 33 (3). 100478. doi:10.1016/j.infoandorg.2023.100478 ISSN 1471-7727.
Arvanitis, Theodoros N., White, Sean, Harrison, Stuart, Chaplin, Rupert and Despotou, George (2022) A method for machine learning generation of realistic synthetic datasets for validating healthcare applications. Health Informatics Journal, 28 (2). 146045822210770. doi:10.1177/14604582221077000 ISSN 1460-4582.
Asadipour, Ali, Debattista, Kurt and Chalmers, Alan (2016) Visuohaptic augmented feedback for enhancing motor skills acquisition. The Visual Computer . doi:10.1007/s00371-016-1275-3 ISSN 0178-2789.
Ashcroft, Edward A. and Wadge, William W. (1982) R for semantics. ACM Transactions on Programming Languages and Systems, Volume 4 (Number 2). pp. 283-294. doi:10.1145/357162.357170 ISSN 0164-0925.
Ashman, Helen, Brailsford, Tim, Cristea, Alexandra I., Sheng, Quan Z., Stewart, Craig, Toms, Elaine G. and Wade, Vincent (2014) The ethical and social implications of personalization technologies for e-learning. Information & Management, Volume 51 (Number 6). pp. 819-832. doi:10.1016/j.im.2014.04.003 ISSN 0378-7206.
Asiatici, Mikhail, George, Nithin, Vipin, Kizheppatt, Fahmy, Suhaib A. and Ienne, Paolo (2017) Virtualized execution runtime for FPGA accelerators in the cloud. IEEE Access, 5 . pp. 1900-1910. doi:10.1109/ACCESS.2017.2661582 ISSN 2169-3536.
Asif, Amina, Dawood, Muhammad, Jan, Bismillah, Khurshid, Javaid, DeMaria, Mark and Minhas, Fayyaz ul Amir Afsar (2018) PHURIE : hurricane intensity estimation from infrared satellite imagery using machine learning. Neural Computing and Applications . doi:10.1007/s00521-018-3874-6 ISSN 0941-0643.
Asif, Amina and Minhas, Fayyaz ul Amir Afsar (2019) An embarrassingly simple approach to neural multiple instance classification. Pattern Recognition Letters, 128 . pp. 474-479. doi:10.1016/j.patrec.2019.10.022 ISSN 0167-8655.
Atkins, Benjamin D., Jewell, Chris P., Runge, Michael C., Ferrari, Matthew J., Shea, Katriona, Probert, William J. M. and Tildesley, Michael J. (2020) Anticipating future learning affects current control decisions : a comparison between passive and active adaptive management in an epidemiological setting. Journal of Theoretical Biology, 506 . 110380. doi:10.1016/j.jtbi.2020.110380 ISSN 0022-5193.
Atlam, Hany F., Azad, Muhammad Ajmal and Fadhel, Nawfal F. (2022) Efficient NFS model for risk estimation in a risk-based access control model. Sensors, 22 (5). 2005. doi:10.3390/s22052005 ISSN 1424-8220.
Atmaca, Ugur Ilker, Maple, Carsten, Epiphaniou, Gregory and Dianati, Mehrdad (2021) A privacy-preserving route planning scheme for the Internet of Vehicles. Ad Hoc Networks, 123 . 102680. doi:10.1016/j.adhoc.2021.102680
Auli-Llinas, Francesc, Enfedaque, Pablo, Moure, Juan C. and Sanchez Silva, Victor (2016) Bitplane image coding with parallel coefficient processing. IEEE Transactions on Image Processing, 25 (1). pp. 209-219. doi:10.1109/TIP.2015.2484069 ISSN 1057-7149.
Awan, Ruqayya, Benes, Ksenija, Azam, Ayesha, Song, Tzu‐Hsi, Shaban, Muhammad, Verrill, Clare, Tsang, Yee Wah, Snead, David, Minhas, Fayyaz ul Amir Afsar and Rajpoot, Nasir M. (2021) Deep learning based digital cell profiles for risk stratification of urine cytology images. Cytometry Part A, 99 (7). pp. 732-742. doi:10.1002/cyto.a.24313 ISSN 1552-4922.
Awan, Ruqayya, Sirinukunwattana, Korsuk, Epstein, D. B. A., Jefferyes, Samuel, D. R., Qidwai, Uvais, Aftab, Zia, Mujeeb, Imaad, Snead, David R. J. and Rajpoot, Nasir M. (2017) Glandular morphometrics for objective grading of colorectal adenocarcinoma histology images. Scientific Reports, 7 . 16852. doi:10.1038/s41598-017-16516-w ISSN 2045-2322.
Axford, Tom and Joy, Mike (1996) Aladin : An abstract machine for integrating functional and procedural programming. Journal of Programming Languages, Volume 4 (Number 2). pp. 63-76. ISSN 0963-9306.
Axford, Tom and Joy, Mike (1993) List processing primitives for parallel computation. Computer Languages, Volume 19 (Number 1). pp. 1-17. doi:10.1016/0096-0551(93)90036-Z ISSN 0096-0551.
Aydin, Nursen, Muter, I. and Birbil, S. I. (2020) Multi-objective temporal bin packing problem : an application in cloud computing. Computers & Operations Research, 121 . 104959. doi:10.1016/j.cor.2020.104959 ISSN 0305-0548.
Aylett, Ruth, Dautenhahn, Kerstin, Doran, Jim, Luck, Michael, Moss, S. and Tennenholtz, Moshe (2000) Can models of agents be transferred between different areas? Knowledge Engineering Review, Vol.15 (No.2). pp. 197-203. doi:10.1017/S0269888900002034 ISSN 0269-8889.
Azad, Muhammad Ajmal, Bag, Samiran, Hao, Feng and Shalaginov, Andrii (2020) Decentralized self-enforcing trust management system for social Internet of Things. IEEE Internet of Things Journal, 7 (4). pp. 2690-2703. doi:10.1109/JIOT.2019.2962282 ISSN 2327-4662.
Azad, Muhammad Ajmal, Bag, Samiran, Parkinson, Simon and Hao, Feng (2019) TrustVote : privacy-preserving node ranking in vehicular networks. IEEE Internet of Things Journal, 6 (4). pp. 5878-5891. doi:10.1109/JIOT.2018.2880839 ISSN 2327-4662.
Azhar, Faisal and Li, Chang-Tsun (2017) Hierarchical relaxed partitioning system for activity recognition. IEEE Transactions on Cybernetics, 47 (3). pp. 784-795. doi:10.1109/TCYB.2016.2526970 ISSN 2168-2267.
Azmat, Freeha, Chen, Yunfei and Stocks, Nigel G. (2016) Analysis of spectrum occupancy using machine learning algorithms. IEEE Transactions on Vehicular Technology, 65 (9). 6853 -6860. doi:10.1109/TVT.2015.2487047 ISSN 0018-9545.
Bach, Benjamin, Freeman, Euan, Abdul-Rahman, Alfie, Turkay, Cagatay, Khan, Saiful, Fan, Yulei and Chen, Min (2023) Dashboard design patterns. IEEE Transactions on Visualization and Computer Graphics, 29 (1). pp. 342-352. doi:10.1109/TVCG.2022.3209448 ISSN 1077-2626.
Bacigalupo, David A., Jarvis, Stephen A., He, Ligang, Spooner, Daniel P., Dillenberger, D. N. and Nudd, G. R. (2005) An investigation into the application of different performance prediction methods to distributed enterprise applications. The Journal of Supercomputing , Volume 34 (Number 2). pp. 93-111. doi:10.1007/s11227-005-2335-z ISSN 0920-8542.
Bacigalupo, David A., van Hemert, J., Chen, Xiaoyu, Usmani, A., Chester, Adam P., He, Ligang, Dillenberger, D. N., Wills, G. B., Gilbert, L. and Jarvis, Stephen A. (2011) Managing dynamic enterprise and urgent workloads on clouds using layered queuing and historical performance models. Simulation Modelling Practice and Theory, Volume 19 (Number 6). pp. 1479-1495. doi:10.1016/j.simpat.2011.01.007 ISSN 1569-190X.
Baesens, C. and MacKay, Robert S. (2013) Interaction of two systems with saddle-node bifurcations on invariant circles : I. Foundations and the mutualistic case. Nonlinearity, Volume 26 (Number 12). pp. 3043-3076. doi:10.1088/0951-7715/26/12/3043 ISSN 0951-7715.
Bag, Samiran, Azad, Muhammad Ajmal and Hao, Feng (2019) PriVeto : a fully private two round veto protocol. IET Control Theory and Applications, 13 (4). pp. 311-320. doi:10.1049/iet-ifs.2018.5115 ISSN 1751-8644.
Bag, Samiran, Hao, Feng, Shahandashti, Siamak F. and Ray, Indranil G. (2019) SEAL : sealed-bid auction without auctioneers. IEEE Transactions on Information Forensics and Security, 15 . pp. 2042-2052. doi:10.1109/TIFS.2019.2955793 ISSN 1556-6013.
Bakewell, Adam, Dimovski, Aleksandar, Ghica, Dan R. and Lazic, Ranko (2010) Data-abstraction refinement : a game semantic approach. International Journal on Software Tools for Technology Transfer, Vol.12 (No.5). pp. 373-389. doi:10.1007/s10009-010-0143-0 ISSN 1433-2779.
Ball, Robin, Branke, Jürgen and Meisel, Stephan (2018) Optimal sampling for simulated annealing under noise. INFORMS Journal on Computing, 30 (1). pp. 200-215. doi:10.1287/ijoc.2017.0774 ISSN 1091-9856.
Balogh, G. D., Flynn, T., Laizet, S., Mudalige, Gihan R. and Reguly, Istvan Zoltan (2022) Scalable many-core algorithms for tridiagonal solvers. Computing in Science and Engineering, 24 (1). pp. 26-35. doi:10.1109/MCSE.2021.3130544 ISSN 1521-9615.
Bandinelli, Carolina and Bandinelli, Arturo (2021) What does the app want? A psychoanalytic interpretation of dating apps’ libidinal economy. Psychoanalysis, Culture & Society, 26 (2). pp. 181-198. doi:10.1057/s41282-021-00217-5 ISSN 1088-0763.
Baniukiewicz, Piotr, Collier, Sharon and Bretschneider, Till (2018) QuimP : analyzing transmembrane signalling in highly deformable cells. Bioinformatics, 34 (15). pp. 2695-2697. bty169. doi:10.1093/bioinformatics/bty169 ISSN 1460-2059.
Baniukiewicz, Piotr, Lutton, E. Josiah, Collier, Sharon and Bretschneider, Till (2019) Generative adversarial networks for augmenting training data of microscopic cell images. Frontiers in Computer Science, 1 . 10. doi:10.3389/fcomp.2019.00010 ISSN 2624-9898.
Bansal, Nikhil, Chen, Ning, Cherniavsky, Neva, Rurda, Atri, Schieber, Baruch and Sviridenko, Maxim (2010) Dynamic pricing for impatient bidders. ACM Transactions on Algorithms , Vol.6 (No.2). pp. 1-21. doi:10.1145/1721837.1721851 ISSN 1549-6325.
Banterle, Francesco, Debattista, Kurt, Artusi, Alessandro, Pattanaik, Sumanta, Myszkowski, Karol, Ledda, Patrick and Chalmers, Alan (2009) High dynamic range imaging and low dynamic range expansion for generating HDR content. Computer Graphics Forum, Vol.28 (No.8). pp. 2343-2367. doi:10.1111/j.1467-8659.2009.01541.x ISSN 0167-7055.
Banterle, Francesco, Ledda, Patrick, Debattista, Kurt, Bloj, Marina, Artusi, Alessandro and Chalmers, Alan (2009) A psychophysical evaluation of inverse tone mapping techniques. Computer Graphics Forum, Vol.28 (No.1). pp. 13-25. doi:10.1111/j.1467-8659.2008.01176.x ISSN 0167-7055.
Banterle, Francesco, Ledda, Patrick, Debattista, Kurt, Chalmers, Alan and Bloj, Marina (2007) A framework for inverse tone mapping. Visual Computer, Vol.23 (No.7). pp. 467-478. doi:10.1007/s00371-007-0124-9 ISSN 0178-2789.
Baptista, João (2009) Institutionalisation as a process of interplay between technology and its organisational context of use. Journal of Information Technology, 24 (4). pp. 305-319. doi:10.1057/jit.2009.15 ISSN 0268-3962.
Barakat, L., Taylor, Phillip M., Griffiths, Nathan, Taweel, A., Lucas, M. and Miles, S. (2018) Towards personalised and adaptive QoS assessments via context awareness. Computational Intelligence, 34 (2). pp. 468-494. doi:10.1111/coin.12129 ISSN 0824-7935.
Barakat, Lina, Taylor, Phillip M., Griffiths, Nathan and Miles, Simon (2022) A reputation-based framework for honest provenance reporting. ACM Transactions on Internet Technology, 22 (4). 103. doi:10.1145/3507908 ISSN 1533-5399.
Barchiesi, Daniele, Moat, Helen Susannah, Alis, Christian M., Bishop, Steven R. and Preis, Tobias (2015) Quantifying international travel flows using Flickr. PLoS One, 10 (7). pp. 1-8. e0128470. doi:10.1371/journal.pone.0128470 ISSN 1932-6203.
Barrington, Jim, Wereko-Brobby, Olympia, Ward, Peter M., Mwafongo, Winfred and Kungulwe, Seif (2010) SMS for Life : a pilot project to improve anti-malarial drug supply management in rural Tanzania using standard technology. Malaria Journal, Volume 9 (Number 1). Article number 298. doi:10.1186/1475-2875-9-298 ISSN 1475-2875.
Bartrina-Rapesta, Joan, Hernández-Cabronero, Miguel, Sanchez Silva, Victor, Serra-Sagristà, Joan, Jamshidi, Pouya and Castellani, J. (2024) Prediction-based coding with rate control for lossless region of interest in pathology imaging. Signal Processing: Image Communication, 123 . 117087. doi:10.1016/j.image.2023.117087 ISSN 0923-5965.
Bartók, Albert P. and Csányi, Gábor (2015) Gaussian approximation potentials : a brief tutorial introduction. International Journal of Quantum Chemistry, 115 (16). pp. 1051-1057. doi:10.1002/qua.24927 ISSN 0020-7608.
Bashford-Rogers, Thomas, Debattista, Kurt and Chalmers, Alan (2014) Importance driven environment map sampling. IEEE Transactions on Visualization and Computer Graphics, 20 (6). pp. 907-918. doi:10.1109/TVCG.2013.258 ISSN 1077-2626.
Basik, Fuat, Gedik, Bugra, Ferhatosmanoglu, Hakan and Wu, Kun-Lung (2021) Fair task allocation in crowdsourced delivery. IEEE Transactions on Services Computing, 14 (4). pp. 1040-1053. doi:10.1109/TSC.2018.2854866 ISSN 1939-1374.
Basit, Abdul Hannan, Abbasi, Wajid Arshad, Asif, Amina, Gull, Sadaf and Minhas, Fayyaz ul Amir Afsar (2018) Training host-pathogen protein–protein interaction predictors. Journal of Bioinformatics and Computational Biology, 16 (04). 1850014. doi:10.1142/S0219720018500142 ISSN 0219-7200.
Bastian, Peter, Blatt, Markus, Dedner, Andreas, Dreier, Nils-Arne, Engwer, Christian, Fritze, René, Gräser, Carsten, Grüninger, Christoph, Kempf, Dominic, Klöfkorn, Robert, Ohlberger, Mario and Sander, Oliver (2021) The DUNE framework : basic concepts and recent developments. Computers & Mathematics with Applications, 81 . pp. 75-112. doi:10.1016/j.camwa.2020.06.007 ISSN 0898-1221.
Basık, Fuat, Gedik, Buğra, Ferhatosmanoglu, Hakan and Kalender, Mert Emin (2015) S3 -TM : scalable streaming short text matching. The VLDB Journal, 24 (6). pp. 849-866. doi:10.1007/s00778-015-0404-3 ISSN 1066-8888.
Bayo, Djénabou, Honecker, Andreas and Roemer, Rudolf A. (2023) The percolating cluster is invisible to image recognition with deep learning. New Journal of Physics, 25 . 113041. doi:10.1088/1367-2630/ad0525 ISSN 1367-2630.
Bazzi, Marya, Jeub, Lucas G. S., Arenas, Alex, Howison, Sam D. and Porter, Mason A. (2020) A framework for the construction of generative models for mesoscale structure in multilayer networks. Physical Review Research, 2 . 023100. doi:10.1103/PhysRevResearch.2.023100 ISSN 2643-1564.
Beevers, Andrew J. and Kukol, Andreas (2006) Systematic molecular dynamics searching in a lipid bilayer: Application to the glycophorin A and oncogenic ErbB-2 transmembrane domains. JOURNAL OF MOLECULAR GRAPHICS & MODELLING, 25 (2). pp. 226-233. doi:10.1016/j.jmgm.2005.12.008 ISSN 1093-3263.
Belkada, S., Cristea, Alexandra I. and Okamoto, Toshio (2001) DiscoverNet : adaptive simulation-based learning environment and support system for designing neural networks. Journal of Educational Technology Research .
Bensalem, Saddek, Huang, Xiaowei, Ruan, Wenjie, Tang, Qiyi, Wu, Changshun and Zhao, Xingyu (2024) Bridging formal methods and machine learning with model checking and global optimisation. Journal of Logical and Algebraic Methods in Programming, 137 . 100941. doi:10.1016/j.jlamp.2023.100941 ISSN 23522208.
Berenbrink, Petra, Friedetzky, Thomas and Goldberg, Leslie Ann (2003) The natural work-stealing algorithm is stable. SIAM Journal on Computing, Volume 32 (Number 5). pp. 1260-1279. doi:10.1137/S0097539701399551 ISSN 0097-5397.
Berenbrink, Petra, Friedetzky, Thomas, Hu, Zengjian and Martin, R. (2008) On weighted balls-into-bins games. Theoretical Computer Science, Vol.409 (No.3). pp. 511-520. doi:10.1016/j.tcs.2008.09.023 ISSN 0304-3975.
Berg, Hugo van den (2009) Design principles of adaptive cellular immunity for artificial immune systems. Soft Computing, Vol.13 (No.11). pp. 1073-1080. doi:10.1007/s00500-008-0380-2 ISSN 1432-7643.
Berger, Daniel S., Gland, Philipp, Singla, Sahil and Ciucu, Florin (2014) Exact analysis of TTL cache networks. Performance Evaluation, Volume 79 . pp. 2-23. doi:10.1016/j.peva.2014.07.001 ISSN 0166-5316.
Bergougnoux, Benjamin, Eiben, Eduard, Ganian, Robert, Ordyniak, Sebastian and Ramanujan, Maadapuzhi Sridharan (2021) Towards a polynomial kernel for directed feedback vertex set. Algorithmica, 83 (5). pp. 1201-1221. doi:10.1007/s00453-020-00777-5 ISSN 1432-0541.
Bernards, Nick, Campbell-Verduyn, Malcolm and Rodima-Taylor, Daivi (2023) The veil of transparency : blockchain and sustainability governance in global supply chains. Environment and Planning C: Politics and Space . doi:10.1177/23996544221142763 ISSN 2399-6544. (In Press)
Berry, Vincent and Gascuel, Olivier (2000) Inferring evolutionary trees with strong combinatorial evidence. Theoretical Computer Science, Volume 240 (Number 2). pp. 271-298. ISSN 0304-3975.
Bertsimas, Dimitris, Doan, Xuan Vinh and Lasserre, Jean (2008) Approximating integrals of multivariate exponentials : a moment approach. Operations Research Letters, 36 (2). pp. 205-210. doi:10.1016/j.orl.2007.07.002 ISSN 0167-6377.
Betancourt, Michael, Byrne, Simon, Livingstone, Sam and Girolami, Mark (2017) The geometric foundations of Hamiltonian Monte Carlo. Bernoulli, 23 (4A). pp. 2257-2298. doi:10.3150/16-BEJ810 ISSN 1350-7265.
Beynon, Meurig (2009) Constructivist computer science education reconstructed. Innovations in Teaching and Learning in Information and Computer Sciences, Vol.8 (No.2). pp. 73-90. ISSN 1473-7507.
Beynon, Meurig (2005) Radical empiricism, empirical modelling and the nature of knowing. Pragmatics and Cognition, Volume 13 (Number 3). pp. 615-646. doi:10.1075/pc.13.3.11bey ISSN 0929-0907.
Beynon, Meurig and Harfield, Antony (2007) Lifelong learning, empirical modelling and the promises of constructivism. Journal of Computers, Volume 2 (Number 3). pp. 43-55. doi:10.4304/jcp.2.3.43-55 ISSN 1796-203X.
Beynon, Meurig and Maad, Soha (2002) Empirical modelling of real life financial systems : the need for integration of enabling tools and technologies. Journal of Integrated Design and Process Science, Volume 6 (Number 1). pp. 43-58. ISSN 1092-0617.
Beynon, Meurig, Rasmequan, Suwanna and Russ, Steve (2002) A new paradigm for computer-based decision support. Decision Support Systems, Volume 33 (Number 2). pp. 127-142. doi:10.1016/S0167-9236(01)00140-3 ISSN 0167-9236.
Beynon, Meurig and Russ, Steve (2008) Experimenting with computing. Journal of Applied Logic, Volume 6 (Number 4). pp. 476-489. doi:10.1016/j.jal.2008.09.008 ISSN 1570-8683.
Beynon, Meurig, Russ, Steve and McCarty, Willard (2006) Human computing : modelling with meaning. Literary and Linguistic Computing, Volume 21 (Number 2). pp. 141-157. doi:10.1093/llc/fql015 ISSN 1477-4615.
Bhalerao, Abhir, Pfister, Hanspeter, Hallec, Michael and Kikinisd, Ron (2000) Fast re-rendering of volume and surface graphics by depth, color, and opacity buffering. Medical Image Analysis, Volume 4 (Number 3). pp. 235-251. ISSN 1361-8415.
Bhalerao, Abhir and Ward, Ashley (2001) Towards electronically assisted peer assessment : A case study. ALT-J : research in learning technology, Volume 9 (Number 1). pp. 26-37. ISSN 0968-7769.
Bhalerao, Abhir and Wilson, Roland (2001) Unsupervised image segmentation combining region and boundary estimation. Image and Vision Computing, Volume 19 (Number 6). pp. 353-368. doi:10.1016/S0262-8856(00)00084-6
Bhalodiya, Jayendra M., Lim Choi Keung, Sarah Niukyun and Arvanitis, Theodoros N. (2022) Magnetic resonance image-based brain tumour segmentation methods : a systematic review. Digital Health, 8 . doi:10.1177/20552076221074122 ISSN 2055-2076.
Bhalodiya, Jayendra Maganbhai, Palit, Arnab, Giblin, Gerard, Tiwari, Manoj Kumar, Prasad, Sanjay K., Bhudia, Sunil K., Arvanitis, Theodoros N. and Williams, M. A. (2021) Identifying myocardial infarction using hierarchical template matching–based myocardial strain : algorithm development and usability study. JMIR Medical Informatics, 9 (2). e22164. doi:10.2196/22164 ISSN 2291-9694.
Bhatia, Sudeep, Walasek, Lukasz, Slovic, Paul and Kunreuther, Howard (2020) The more who die, the less we care : evidence from natural language analysis of online news articles and social media posts. Risk Analysis, 41 (1). pp. 179-203. doi:10.1111/risa.13582 ISSN 0272-4332.
Bhattacharya, Anup, Chakraborty, Sourav, Ghosh, Arijit, Mishra, Gopinath and Paraashar, Manaswi (2022) Disjointness through the lens of Vapnik–Chervonenkis dimension : sparsity and beyond. Computational Complexity, 31 (2). 9. doi:10.1007/s00037-022-00225-6 ISSN 1016-3328.
Bi, Dadi, Almpanis, Apostolos, Noel, Adam, Deng, Yansha and Schober, Robert (2021) A survey of molecular communication in cell biology : establishing a new hierarchy for interdisciplinary applications. IEEE Communications Surveys & Tutorials, 23 (3). pp. 1494-1545. doi:10.1109/COMST.2021.3066117 ISSN 1553-877X.
Bienkowski, Marcin, Böhm, Martin, Byrka, Jaroslaw, Chrobak, Marek, Dürr, Christoph, Folwarczný, Lukáš, Jeż, Łukasz, Sgall, Jiří, Thang, Nguyen Kim and Veselý, Pavel (2021) New results on multi-level aggregation. Theoretical Computer Science, 861 . pp. 133-143. doi:10.1016/j.tcs.2021.02.016 ISSN 0304-3975.
Bierkens, Joris, Fearnhead, Paul and Roberts, Gareth O. (2019) The Zig-Zag process and super-efficient sampling for Bayesian analysis of big data. Annals of statistics, 47 (3). pp. 1288-1320. doi:10.1214/18-AOS1715 ISSN 0090-5364.
Bilal, Iman Munire, Wang, Bo, Tsakalidis, Adam, Nguyen, Dong, Procter, Rob and Liakata, Maria (2022) Template-based abstractive microblog opinion summarisation. Transactions of the Association for Computational Linguistics, 10 . pp. 1229-1248. doi:10.1162/tacl_a_00516 ISSN 2307-387X.
Bingol, Kaan, Eravci, Bahaeddin, Etemoglu, Cagri Ozgenc, Ferhatosmanoglu, Hakan and Gedik, Bugra (2016) Topic-based influence computation in social networks under resource constraints. IEEE Transactions on Services Computing . p. 1. doi:10.1109/TSC.2016.2619688 ISSN 1939-1374.
Bird, Robert F., Gillies, P. (Paddy), Bareford, M. R., Herdman, J. A. and Jarvis, Stephen A. (2016) Performance optimisation of inertial confinement fusion codes using mini-applications. International Journal of High Performance Computing Applications . doi:10.1177/1094342016670225 ISSN 1094-3420.
Birmpas, Georgios, Gan, Jiarui, Hollender, Alexandros, Marmolejo-Cossío, Francisco J., Rajgopal, Ninad and Voudouris, Alexandros A. (2021) Optimally deceiving a learning leader in Stackelberg games. Journal of Artificial Intelligence Research, 72 . pp. 507-531. doi:10.1613/jair.1.12542 ISSN 1076-9757.
Biswas, Chinmoy, Dutta, Ratna and Sarkar, Sumanta (2024) An efficient post-quantum secure dynamic EPID signature scheme using lattice. Multimedia Tools and Applications, 83 . pp. 13791-13820. doi:10.1007/s11042-023-15737-8 ISSN 1380-7501.
Blais, Eric, Canonne, Clément L. and Gur, Tom (2019) Distribution testing lower bounds via reductions from communication complexity. ACM Transactions on Computation Theory, 11 (2). pp. 1-37. 6. doi:10.1145/3305270 ISSN 1942-3454.
Block, B. J. and Preis, Tobias (2012) Computer simulations of the ising model on graphics processing units. The European Physical Journal Special Topics, Volume 210 (Number 1). pp. 133-145. doi:10.1140/epjst/e2012-01642-y ISSN 1951-6355.
Block, Benjamin, Virnau, Peter and Preis, Tobias (2010) Multi-GPU accelerated multi-spin Monte Carlo simulations of the 2D Ising model. Computer Physics Communications, Volume 181 (Number 9). pp. 1549-1556. doi:10.1016/j.cpc.2010.05.005 ISSN 0010-4655.
Blondin, Michael, Englert, Matthias, Finkel, Alain, Göller, Stefan , Haase, Christoph, Lazic, Ranko, McKenzie, Pierre and Totzke, Patrick (2021) The reachability problem for two-dimensional vector addition systems with states. Journal of the ACM, 68 (5). pp. 1-43. 34. doi:10.1145/3464794 ISSN 0004-5411.
Bo, Weihao, Liu, Jie, Fan, Xijian, Tjahjadi, Tardi, Ye, Qiaolin and Fu, Liyong (2022) BASNet : burned area segmentation network for real-time detection of damage maps in remote sensing images. IEEE Transactions on Geoscience and Remote Sensing, 60 . p. 1. doi:10.1109/TGRS.2022.3197647 ISSN 0196-2892.
Bolton, Tom, Dargahi, Tooska, Belguith, Sana and Maple, Carsten (2023) PrivExtractor : towards redressing the imbalance of understanding between virtual assistant users and vendors. ACM Transactions on Privacy and Security, 26 (3). pp. 1-29. doi:10.1145/3588770 ISSN 2471-2566.
Borah, S., Hines, Evor, Leeson, Mark S., Iliescu, Daciana, Bhuyan, M. and Gardner, J. W. (2008) Neural network based electronic nose for classification of tea aroma. Sensing and Instrumentation for Food Quality and Safety, Vol.2 (No.1). pp. 7-14. doi:10.1007/s11694-007-9028-7 ISSN 1932-7587.
Botha, Stefan, Zabihi, Nima, Dobzhanskyi, Oleksandr and Gouws, Rupert (2018) Intelligent fuzzy logic controller for improved power extraction of micro wind turbines. International Journal of Engineering & Technology, 7 (4). pp. 6115-6121. doi:10.14419/ijet.v7i4.23127 ISSN 2227-524X.
Bottarelli, Mirko, Karadimas, Petros, Epiphaniou, Gregory, Kbaier Ben Ismail, Dhouha and Maple, Carsten (2021) Adaptive and optimum secret key establishment for secure vehicular communications. IEEE Transactions on Vehicular Technology, 70 (3). pp. 2310-2321. doi:10.1109/TVT.2021.3056638 ISSN 0018-9545.
Bottarelli, Mirko, Karadimas, Petros, Epiphaniou, Gregory, Kbaier Ben Ismail, Dhouha and Maple, Carsten (2021) Adaptive and optimum secret key establishment for secure vehicular communications. Transactions on Vehicular Technology, 70 (3). pp. 2310-2321. doi:10.1109/TVT.2021.3056638 ISSN 0018-9545.
Boyes, Hugh, Hallaq, Bilal, Cunningham, Joe and Watson, Tim (2018) The industrial internet of things (IIoT) : an analysis framework. Computers in Industry, 101 . pp. 1-12. doi:10.1016/j.compind.2018.04.015 ISSN 1872-6194.
Bradbury, Matthew S., Jhumka, Arshad and Watson, Tim (2022) Information management for trust computation on resource-constrained IoT devices. Future Generation Computer Systems, 135 . pp. 348-363. doi:10.1016/j.future.2022.05.004 ISSN 0167-739X.
Bradbury, Matthew S., Jhumka, Arshad, Watson, Tim, Flores, Denys, Burton, Jonathan and Butler, Matthew (2022) Threat modelling guided trust-based task offloading for resource-constrained Internet of Things. Transactions on Sensor Networks, 18 (2). 29. doi:10.1145/3510424 ISSN 1550-4859.
Bradbury, Matthew S., Jhumka, Arshad, Watson, Tim, Flores, Denys, Burton, Jonathan and Butler, Matthew (2022) Threat-modeling-guided trust-based task offloading for resource-constrained Internet of Things. ACM Transactions on Sensor Networks, 18 (2). pp. 1-41. 29. doi:10.1145/3510424 ISSN 1550-4867.
Brandstaedt, Andreas, Klembt, Tilo, Lozin, Vadim V. and Mosca, Raffaele (2010) On independent vertex sets in subclasses of apple-free graphs. Algorithmica, Vol.56 (No.4). pp. 383-393. doi:10.1007/s00453-008-9176-0 ISSN 0178-4617.
Brandstetter, Andreas and Artusi, Alessandro (2008) Radial basis function networks GPU-based implementation. IEEE Transactions on Neural Networks, Vol.19 (No.12). pp. 2150-2154. doi:10.1109/TNN.2008.2003284 ISSN 1045-9227.
Branke, Jürgen, Farid, Suzanne S. and Shah, Nilay (2016) Industry 4.0 : a vision for personalized medicine supply chains? Cell and Gene Therapy Insights, 2 (2). pp. 263-270. doi:10.18609/cgti.2016.027 ISSN 2059-7800.
Branke, Jürgen, Nguyen, Su, Pickardt, Christoph W. and Zhang, Mengjie (2016) Automated design of production scheduling heuristics : a review. IEEE Transactions on Evolutionary Computation, 20 (1). pp. 110-124. doi:10.1109/TEVC.2015.2429314 ISSN 1089-778X.
Bridgwater, Sally and Quigley, David (2014) Lattice-switching Monte Carlo method for crystals of flexible molecules. Physical Review E (Statistical, Nonlinear, and Soft Matter Physics), Volume 90 (Number 6). Article number 063313. doi:10.1103/PhysRevE.90.063313 ISSN 1539-3755.
Broeckling, C. D., Minhas, Fayyaz ul Amir Afsar, Neumann, S., Ben-Hur, A. and Prenni, J. E. (2014) RAMClust : A novel feature clustering method enables spectral-matching-based annotation for metabolomics data. Analytical Chemistry, 86 (14). pp. 6812-6817. doi:10.1021/ac501530d ISSN 0003-2700.
Brown, A. D. (Andrew D.), Stacey, Patrick and Nandhakumar, Joe (2008) Making sense of sensemaking narratives. Human Relations, Vol.61 (No.8). pp. 1035-1062. doi:10.1177/0018726708094858 ISSN 0018-7267.
Brown, Dominic, Bettencourt, Matthew T., Wright, Steven A., Maheswaran, Satheesh, Jones, John P. and Jarvis, Stephen A. (2021) Higher-order particle representation for particle-in-cell simulations. Journal of Computational Physics, 435 . 110255. doi:10.1016/j.jcp.2021.110255 ISSN 0021-9991.
Brown, Dominic, Wright, Steven A. and Jarvis, Stephen A. (2018) Performance of a second order electrostatic particle-in-cell algorithm on modern many-core architectures. Electronic Notes in Theoretical Computer Science, 340 . pp. 67-84. doi:10.1016/j.entcs.2018.09.006 ISSN 1571-0661.
Brown, E., Cristea, Alexandra I., Stew, C. and Brailsford, T. (2005) Patterns in authoring of adaptive educational hypermedia : a taxonomy of learning styles. Educational Technology and Society, Volume 8 (Number 3). pp. 77-90. ISSN 1436-4522.
Bryson, K., Joy, Mike, Luck, Michael (Michael M.) and Jones, D. T. (1999) Using software agents to investigate genomes. CCP11 Newsletter, Volume 8 .
Bryson, K., Luck, Michael (Michael M.), Joy, Mike and Jones, D. T. (2001) Agent interaction for bioinformatics data management. Applied Artificial Intelligence, Volume 15 (Number 10). pp. 917-947. doi:10.1080/088395101753242688 ISSN 0883-9514.
Bugeaud, Yann, Reutenauer, Christophe and Siksek, Samir (2009) A Sturmian sequence related to the uniqueness conjecture for Markoff numbers. Theoretical Computer Science, Vol.410 (No.30-32). pp. 2864-2869. doi:10.1016/j.tcs.2009.02.005 ISSN 0304-3975.
Bugeja, Keith, Debattista, Kurt and Spina, Sandro (2019) An asynchronous method for cloud-based rendering. The Visual Computer, 35 . pp. 1827-1840. doi:10.1007/s00371-018-1577-8 ISSN 0178-2789.
Bukatin, Michael, Kopperman, Ralph and Matthews, Stephen G. (2009) Partial metric spaces. American Mathematical Monthly, Vol.116 (No.8). pp. 708-718. doi:10.4169/193009709X460831 ISSN 0002-9890.
Bulut, Muhammed Fatih, Demirbas, Murat and Ferhatosmanoglu, Hakan (2015) LineKing : coffee shop wait-time monitoring using smartphones. IEEE Transactions on Mobile Computing, 14 (10). pp. 2045-2058. doi:10.1109/TMC.2014.2384032 ISSN 1536-1233.
Burian, S. O., Kiselychnyk, Oleh, Pushkar, M. V., Reshetnik, V. S. and Zemlianukhina, H. Y. (2020) Energy-efficient control of pump units based on neural-network parameter observer. Technical Electrodynamics , 2020 (1). pp. 71-77. doi:10.15407/techned2020.01.071 ISSN 1607-7970.
Böhm, Martin, Chrobak, Marek, Jeż, Łukasz, Li, Fei, Sgall, Jiří and Veselý, Pavel (2019) Online packet scheduling with bounded delay and lookahead. Theoretical Computer Science, 776 . pp. 95-113. doi:10.1016/j.tcs.2019.01.013 ISSN 0304-3975.
Cabiddu, F., Patriotta, Gerardo and Allen, David G. (2022) Why do users trust algorithms? A review and conceptualization of initial trust and trust over time. European Management Journal, 40 (5). pp. 685-706. doi:10.1016/j.emj.2022.06.001 ISSN 0263-2373.
Cadik, Martin, Wimmer, Michael, Neumann, Laszlo and Artusi, Alessandro (2008) Evaluation of HDR tone mapping methods using essential perceptual attributes. Computers & Graphics, Vol.32 (No.3). pp. 330-349. doi:10.1016/j.cag.2008.04.003 ISSN 0097-8493.
Campbell-Kelly, Martin (2011) From theory to practice : the invention of programming, 1947-51. Lecture Notes in Computer Science, Vol.6875 . pp. 23-37. doi:10.1007/978-3-642-24541-1_4 ISSN 0302-9743.
Campbell-Kelly, Martin (2011) In praise of 'Wilkes, Wheeler, and Gill'. Communications of the ACM, Vol.54 (No.9). pp. 25-27. doi:10.1145/1995376.1995386 ISSN 0001-0782.
Campbell-Kelly, Martin (2007) Number crunching without programming: the evolution of spreadsheet usability. IEEE Annals of the History of Computing, Vol.29 (No.3). pp. 6-19. doi:10.1109/MAHC.2007.4338438 ISSN 1058-6180.
Campbell-Kelly, Martin (1992) The airy tape: an early chapter in the history of debugging. IEEE Annals of the History of Computing, Volume 14 (Number 4). pp. 16-26. doi:10.1109/85.194051 ISSN 1058-6180.
Campbell-Kelly, Martin (2007) The history of the history of software. IEEE Annals of the History of Computing, Vol.29 (No.4). pp. 40-51. doi:10.1109/MAHC.2007.4407444 ISSN 1058-6180.
Campbell-Kelly, Martin and Garcia-Swartz, Daniel D. (2008) Economic perspectives on the history of the computer time-sharing industry, 1965-1985. IEEE Annals of the History of Computing, Vol.30 (No.1). pp. 16-36. doi:10.1109/MAHC.2008.3 ISSN 1058-6180.
Campbell-Kelly, Martin and Garcia-Swartz, Daniel D. (2009) Pragmatism, not ideology : historical perspectives on IBM's adoption of open-source software. Information Economics and Policy, Vol.21 (No.3). pp. 229-244. doi:10.1016/j.infoecopol.2009.03.006 ISSN 0167-6245.
Campbell-Kelly, Martin, Garcia-Swartz, Daniel D. and Layne-Farrar, Anne (2008) The evolution of network industries: lessons from the conquest of the online frontier, 1979-95. Industry and Innovation, Vol.15 (No.4). pp. 435-455. doi:10.1080/13662710802239513 ISSN 1366-2716.
Campbell-Kelly, Martin and Garcia‐Swartz, Daniel D. (2010) The move to the middle : convergence of the open‐source and proprietary software industries. International Journal of the Economics of Business, Vol.17 (No.2). pp. 223-252. doi:10.1080/13571516.2010.483091 ISSN 1357-1516.
Cao, Fang, Sun, Jiayi, Luo, Xiangyang, Qin, Chuan and Chang, Ching-Chun (2021) Privacy-preserving inpainting for outsourced image. International Journal of Distributed Sensor Networks, 17 (11). doi:10.1177/15501477211059092 ISSN 1550-1329.
Cao, Jianyu, Feng, Wei, Chen, Yunfei, Ge, Ning and Wang, Shulan (2019) Performance analysis of a polling model with BMAP and across-queue state-dependent service discipline. IEEE Access, 7 . 127230 -127253. doi:10.1109/ACCESS.2019.2939387 ISSN 2169-3536.
Cao, Junwei, Jarvis, Stephen A., Saini, S., Kerbyson, D. J. and Nudd, G. R. (2002) ARMS : an agent-based resource management system for grid computing. Scientific Programming, Volume 10 (Number 2). pp. 135-148. ISSN 1058-9244.
Cao, Junwei, Spooner, Daniel P., Jarvis, Stephen A. and Nudd, G. R. (2005) Grid load balancing using intelligent agents. Future Generation Computer Systems, Volume 21 (Number 1). pp. 135-149. doi:10.1016/j.future.2004.09.032 ISSN 0167-739X.
Cao, Y., Sun, W., Zhao, N., Chen, Yunfei, Yu, R. F. and Nallanathan, A. (2021) Multi-antenna covert communication via full-duplex jamming against a warden with uncertain locations. IEEE Transactions on Wireless Communications, 20 (8). pp. 5467-5480. doi:10.1109/TWC.2021.3068096 ISSN 1536-1276.
Caravenna, Francesco, Sun, Rongfeng and Zygouras, Nikos (2019) On the moments of the (2+1)-dimensional directed polymer and stochastic heat equation in the critical window. Communications in Mathematical Physics, 372 . pp. 385-440. doi:10.1007/s00220-019-03527-z ISSN 0010-3616.
Cardinal, Jean, Hoang, Hung, Merino, Arturo, Micka, Ondrej and Mutze, Torsten (2023) Combinatorial generation via permutation languages. V. Acyclic orientations. SIAM Journal on Discrete Mathematics, 37 (3). pp. 1509-1547. doi:10.1137/23M1546567 ISSN 0895-4801.
Care, Charles (2007) Not only digital: a review of ACM's early involvement with analog computing technology. Communications of the ACM, Vol.50 (No.5). pp. 42-45. doi:10.1145/1230819.1230837 ISSN 0001-0782.
Carrapico, Helena and Farrand, Benjamin Matthew (2016) 'Dialogue, partnership and empowerment for network and information security' : the changing role of the private sector from regulation adopters to regulation shapers. Crime, Law and Social Change . pp. 1-19. doi:10.1007/s10611-016-9652-4
Castaldo, Rossana, Chappell, M. J., Byrne, H., Innominato, Pasquale F., Hughes, S., Pescapè, A. and Pecchia, Leandro (2021) Detection of melatonin-onset in real settings via wearable sensors and artificial intelligence : a pilot study. Biomedical Signal Processing and Control, 65 . 102386. doi:10.1016/j.bspc.2020.102386 ISSN 1746-8094.
Castelle, Michael (2021) Middleware’s message : the financial technics of codata. Philosophy & Technology, 34 . pp. 33-55. doi:10.1007/s13347-019-00379-2 ISSN 2210-5433.
Castro Degrossi, L., Porto de Albuquerque, João , dos Santos Rocha, R. and Zipf, A. (2018) A taxonomy of quality assessment methods for volunteered and crowdsourced geographic information. Transactions in GIS, 22 (2). pp. 542-560. doi:10.1111/tgis.12329 ISSN 1361-1682.
Catalano, Marta, Blasi, Pierpaolo De, Lijoi, Antonio and Prünster, Igor (2022) Posterior asymptotics for boosted Hierarchical Dirichlet Process mixtures. Journal of Machine Learning Research, 23 (80). pp. 1-23. ISSN 1532-4435.
Chakrabarti, Amit, Cormode, Graham and McGregor, Andrew (2016) Robust lower bounds for communication and stream computation. Theory of Computing, 12 . pp. 1-35. 10. doi:10.4086/toc.2016.v012a010 ISSN 1557-2862.
Chakrabarti, Amit, Cormode, Graham, McGregor, Andrew and Thaler, Justin (2014) Annotations in data streams. Transactions on Algorithms, Volume 11 (Number 1). doi:10.1145/2636924 ISSN 1549-6325.
Chakrabarti, Amit, Cormode, Graham, McGregor, Andrew, Thaler, Justin and Venkatasubramanian, Suresh (2019) Verifiable stream computation and Arthur-Merlin communication. SIAM Journal on Computing . ISSN 0097-5397.
Chalmers, Alan, Debattista, Kurt, Mastoropoulou, Georgia and Paulo dos Santos, Luis (2007) There-reality : selective rendering in high fidelity virtual environments. The International Journal of Virtual Reality, Vol.6 (No.1). pp. 1-10. ISSN 1081-1451.
Chalmers, Alan, Debattista, Kurt and Ramic-Brkic, Belma (2009) Towards high-fidelity multi-sensory virtual environments. Visual Computer, Vol.25 (No.12). pp. 1101-1108. doi:10.1007/s00371-009-0389-2 ISSN 0178-2789.
Chan, Alexandre, Yap, Kevin Yi-Lwern, Koh, Dorothy, Low, Xiu Hui and Cheung, Yin Ting (2011) Electronic database to detect drug-drug interactions between antidepressants and oral anticancer drugs from a cancer center in Singapore: implications to clinicians. Pharmacoepidemiology and Drug Safety, Vol.20 (No.9). pp. 939-947. doi:10.1002/pds.2167 ISSN 10538569.
Chan, Pak Hung, Huggett, Anthony, Souvaliioti, Georgia, Jennings, P. A. (Paul A.) and Donzella, Valentina (2023) Influence of AVC and HEVC compression on detection of vehicles through Faster R-CNN. IEEE Transactions on Intelligent Transportaion Systems . (In Press)
Chan, R., Pollock, M., Johansen, Adam M. and Roberts, Gareth O. (2023) Divide-and-conquer fusion. Journal of Machine Learning Research, 24 (193). pp. 1-82. ISSN 1532-4435.
Chang, Cheng, He, Ligang, Chaudhary, Nadeem, Fu, Songling, Chen, Hao, Sun, Jianhua, Li, Kenli, Fu, Zhangjie and Xu, Ming-Liang (2017) Performance analysis and optimization for workflow authorization. Future Generation Computer Systems, 67 . pp. 194-205. doi:10.1016/j.future.2016.09.011 ISSN 0167-739X.
Chang, Ching-Chun (2022) Automation of reversible steganographic coding with nonlinear discrete optimisation. Connection Science, 34 (1). pp. 1719-1735. doi:10.1080/09540091.2022.2078792 ISSN 0954-0091.
Chang, Ching-Chun, Li, Chang-Tsun and Shi, Yun-Qing (2018) Privacy-aware reversible watermarking in cloud computing environments. IEEE Access, 6 . pp. 70720-70733. doi:10.1109/ACCESS.2018.2880904 ISSN 2169-3536.
Chang, Ching-Chun, Wang, Xu, Chen, Sisheng, Echizen, Isao, Sanchez, Victor and Li, Chang-Tsun (2023) Deep learning for predictive analytics in reversible steganography. IEEE Access, 11 . pp. 3494-3510. doi:10.1109/access.2023.3233976 ISSN 2169-3536.
Chao, Kuo-Ming, Younas, Muhammad and Griffiths, Nathan (2006) BPEL4WS-based coordination of grid services in design. Computers in Industry, Volume 57 (Number 8-9). pp. 778-786. doi:10.1016/j.compind.2006.04.012 ISSN 2049-4297.
Chapman, C. and Pinfold, Martyn Keith (2001) The application of a knowledge based engineering approach to the rapid design and analysis of an automotive structure. Advances in engineering software, 32 (12). pp. 903-912. ISSN 0965-9978.
Charlesworth, Henry J. and Turner, Matthew S. (2019) Intrinsically motivated collective motion. Proceedings of the National Academy of Sciences of the United States of America, 116 (31). pp. 15362-15367. doi:10.1073/pnas.1822069116 ISSN 0027-8424.
Chater, Nick (2023) How could we make a social robot? A virtual bargaining approach. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 381 (2251). 20220040. doi:10.1098/rsta.2022.0040 ISSN 1364-503X.
Chater, Nick (2022) The computational society. Trends in Cognitive Sciences, 26 (12). pp. 1015-1017. doi:10.1016/j.tics.2022.07.004 ISSN 1364-6613.
Chawla, Shuchi, Gupta, Anupam and Raecke, Harald (2008) Embeddings of negative-type metrics and an improved approximation to generalized sparsest cut. ACM Transactions on Algorithms , Vol.4 (No.2). doi:10.1145/1361192.1361199 ISSN 1549-6325.
Cheah, Hui Yan, Brosser, Fredrik, Fahmy, Suhaib A. and Maskell, Douglas L. (2014) The iDEA DSP block based soft processor for FPGAs. ACM Transactions on Reconfigurable Technology and Systems, 7 (3). 19:1-19:23. ISSN 1936-7406.
Chen, Beiqi, Wang, Liangjing, Fan, Xijian, Bo, Weihao, Yang, Xubing and Tjahjadi, Tardi (2023) Semi-FCMNet : semi-supervised learning for forest cover mapping from satellite imagery via ensemble self-training and perturbation. Remote Sensing, 15 (16). 4012. doi:10.3390/rs15164012 ISSN 2072-4292.
Chen, Bo, Arjen, P. A., Vestjens, Arjen P. A. and Woeginger, Gerhard J. (1998) On-line scheduling of two-machine open shops where jobs arrive over time. Journal of Combinatorial Optimization, 1 (4). pp. 355-365. ISSN 1382-6905.
Chen, Bo, Chen, Xujin and Hu, Xiaodong (2010) The price of atomic selfish ring routing. Journal of Combinatorial Optimization, Vol.19 (No.3). pp. 258-278. doi:10.1007/s10878-008-9171-z ISSN 1382-6905.
Chen, Bo, Coffman, Ed , Dereniowski, Dariusz and Kubiak, Wiesław (2016) Normal-form preemption sequences for an open problem in scheduling theory. Journal of Scheduling, 19 (6). pp. 701-728. doi:10.1007/s10951-015-0446-9 ISSN 1094-6136.
Chen, Bo and Strusevich, Vitaly A. (2023) An FPTAS for scheduling with resource constraints. Operations Research Letters, 51 (4). pp. 421-424. doi:10.1016/j.orl.2023.06.001 ISSN 0167-6377.
Chen, Bo and Zhang, Xiandong (2019) Scheduling with time-of-use costs. European Journal of Operational Research, 274 (3). pp. 900-908. doi:10.1016/j.ejor.2018.11.002 ISSN 0377-2217.
Chen, Hao, Sun, Jianhua, He, Ligang, Li, Kenli and Tan, Huailiang (2014) BAG : Managing GPU as buffer cache in operating systems. IEEE Transactions on Parallel and Distributed Systems, Volume 25 (Number 6). pp. 1393-1402. doi:10.1109/TPDS.2013.201 ISSN 1045-9219.
Chen, Lijie, Hirahara, Shuichi, Oliveira, Igor Carboni, Pich, Ján, Rajgopal, Ninad and Santhanam, Rahul (2022) Beyond natural proofs : hardness magnification and locality. Journal of the ACM, 69 (4). 25. doi:10.1145/3538391 ISSN 0004-5411.
(2022) RAMPVIS : answering the challenges of building visualisation capabilities for large-scale emergency responses. Epidemics, 39 . 100569. doi:10.1016/j.epidem.2022.100569 ISSN 1755-4365.
Chen, Mingli, Chernozhukov, Victor, Fernández-Val, Iván and Melly, Blaise (2017) Counterfactual : an R package for counterfactual analysis. The R Journal, 9 (1). pp. 370-384.
Chen, Mingli, Kato, Kengo and Leng, Chenlei (2021) Analysis of networks via the sparse β-Model. Journal of the Royal Statistical Society : Series B (Statistical Methodology), 83 (5). pp. 887-910. doi:10.1111/rssb.12444 ISSN 1369-7412.
Chen, Xujin and Chen, Bo (2009) Approximation algorithms for soft-capacitated facility location in capacitated network design. Algorithmica, Vol.53 (No.3). pp. 263-297. doi:10.1007/s00453-007-9032-7 ISSN 0178-4617.
Chen, Xujin and Chen, Bo (2009) Cost-effective designs of fault-tolerant access networks in communication systems. Networks, Vol.53 (No.4). pp. 382-391. doi:10.1002/net.20306 ISSN 0028-3045.
Chen, Zhao, Xiu, Yahui, Zheng, Yuxin, Wang, Xinxin, Wang, Qian, Guo, Danqi and Wan, Yan (2024) A weakly supervised learning pipeline for profiled fibre inspection. IET Image Processing, 18 (3). pp. 772-784. doi:10.1049/ipr2.12984 ISSN 1751-9659.
Cheng, Long, Zhang, Huaizhi, Jose , Joemon M., Yu, Haitao, Moshfeghi, Yashar and Triantafillou, Peter (2018) Topic detection and tracking on heterogeneous information. Journal of Intelligent Information Systems, 51 (1). pp. 115-137. doi:10.1007/s10844-017-0487-y ISSN 0925-9902.
Chester, Dean G., Wright, Steven A. and Jarvis, Stephen A. (2018) Understanding communication patterns in HPCG. Electronic Notes in Theoretical Computer Science, 340 . pp. 55-65. doi:10.1016/j.entcs.2018.09.005 ISSN 1571-0661.
Chiesa, Alessandro, Gur, Tom and Shinkar, Igor (2021) Relaxed locally correctable codes with nearly-linear block length and constant query complexity. SIAM Journal of Computing . pp. 1395-1411. doi:10.1137/1.9781611975994.84 ISSN 0097-5397.
Chistikov, Dmitry, Haase, Christoph and Halfon, Simon (2018) Context-free commutative grammars with integer counters and resets. Theoretical Computer Science, 735 . pp. 147-161. doi:10.1016/j.tcs.2016.06.017 ISSN 0304-3975.
Chistikov, Dmitry, Kiefer, Stefan, Murawski, Andrzej S. and Purser, David (2022) The big-O problem. Logical Methods in Computer Science, 18 (1). 40:1-40:50. doi:10.46298/lmcs-18(1:40)2022 ISSN 1860-5974.
Chistikov, Dmitry, Martyugin, Pavel and Shirmohammadi, Mahsa (2019) Synchronizing automata over nested words. Journal of Automata, Languages and Combinatorics, 24 (2-4). pp. 219-251. doi:10.25596/jalc-2019-219 ISSN 1430-189X.
Chouhan, Lokendra, Sharma, Prabhat and Noel, Adam (2020) Molecular communication in fractional diffusive channel. IEEE Communications Letters, 24 (10). pp. 2172-2176. doi:10.1109/LCOMM.2020.2999577 ISSN 1089-7798.
Choy, K. L. , Lee, W. B., Lau, Henry, Lu, Dawei and Lo, Victor (2004) Design of an intelligent supplier relationship management system for new product development. International Journal of Computer Integrated Manufacturing , Vol. 17 (No. 8). pp. 692-715. doi:10.1080/0951192042000237483 ISSN 0951-192X.
Chuah, Edward, Jhumka, Arshad, Malek, Miroslaw and Suri, Neeraj (2022) A survey of log-correlation tools for failure diagnosis and prediction in cluster systems. IEEE Access, 10 . pp. 133487-133503. doi:10.1109/access.2022.3231454 ISSN 2169-3536.
Ciucu, Florin and Poloczek, Felix (2018) Two extensions of Kingman's GI/G/1 bound. Proceedings of the ACM on Measurement and Analysis of Computing Systems - SIGMETRICS, 2 (3). 43. doi:10.1145/3287322 ISSN 2476-1249.
Clegg, Ben and Shaw, Duncan (2008) Using process-oriented holonic (PrOH) modelling to increase understanding of information systems. Information Systems Journal, 18 (5). pp. 447-477. doi:10.1111/j.1365-2575.2008.00308.x ISSN 1350-1917.
Clemente, Lorenzo, Lasota, Sławomir, Lazic, Ranko and Mazowiecki, Filip (2019) Binary reachability of timed-register pushdown automata and branching vector addition systems. ACM Transactions on Computational Logic, 20 (3). pp. 1-31. 14. doi:10.1145/3326161 ISSN 1529-3785.
Clements, Michael P. and Kim, Jae H. (2007) Bootstrap prediction intervals for autoregressive time series. Computational Statistics & Data Analysis, Vol.51 (No.7). pp. 3580-3594. doi:10.1016/j.csda.2006.09.012 ISSN 0167-9473.
Clifford, Raphaël, Jalsenius, Markus, Montanaro, Ashley and Sach, Ben (2012) The complexity of flood filling games. Theory of Computing Systems, Vol.50 (No.1). pp. 72-92. doi:10.1007/s00224-011-9339-2 ISSN 1432-4350.
Clifford, Raphaël and Sach, Ben (2011) Pattern matching in pseudo real-time. Journal of Discrete Algorithms, Vol.9 (No.1). pp. 67-81. doi:10.1016/j.jda.2010.09.005 ISSN 1570-8667.
Coak, Matthew John, Liu, Cheng, Jarvis, David M., Park, Seunghyun, Cliffe, Matthew J. and Goddard, Paul A. (2020) SquidLab : a user-friendly program for background subtraction and fitting of magnetization data. Review of Scientific Instruments, 91 (2). 023901. doi:10.1063/1.5137820 ISSN 0034-6748.
Coetzee, Peter and Jarvis, Stephen A. (2017) Goal-based composition of scalable hybrid analytics for heterogeneous architectures. Journal of Parallel and Distributed Computing, 108 . pp. 59-73. doi:10.1016/j.jpdc.2016.11.009 ISSN 0743-7315.
Coetzee, Peter, Leeke, Matthew and Jarvis, Stephen A. (2014) Towards unified secure on- and off-line analytics at scale. Parallel Computing, Volume 40 (Number 10). pp. 738-753. doi:10.1016/j.parco.2014.07.004 ISSN 0167-8191.
Cohen, D., Cooper, M., Jeavons, P. and Krokhin, Andrei (2004) A maximal tractable class of soft constraints. Journal of Artificial Intelligence Research, Volume 22 . pp. 1-22. doi:10.1613/jair.1400 ISSN 1076-9757.
Cohen, Edith, Cormode, Graham, Duffield, Nick and Lund, Carsten (2016) On the tradeoff between stability and fit. ACM Transactions on Algorithms , 13 (1). 7. doi:10.1145/2963103 ISSN 1549-6325.
Colmenares-Quintero, Ramón Fernando, Rojas-Martinez, Eyberth R., Macho-Hernantes, Fernando, Stansfield, Kim and Colmenares-Quintero, Juan Carlos (2021) Methodology for automatic fault detection in photovoltaic arrays from artificial neural networks. Cogent Engineering, 8 (1). 1981520. doi:10.1080/23311916.2021.1981520 ISSN 2331-1916.
Connelly, Erin, Del Genio, Charo I., Harrison, Freya and Nacy, Carol A. (2020) Data mining a medieval medical text reveals patterns in ingredient choice that reflect biological activity against infectious agents. mBio, 11 (1). e03136-19. doi:10.1128/mBio.03136-19 ISSN 2150-7511.
Connelly, Roxanne, Playford, Chris J., Gayle, Vernon and Dibben, Chris (2016) The role of administrative data in the big data revolution in social science research. Social Science Research, 59 . pp. 1-12. doi:10.1016/j.ssresearch.2016.04.015 ISSN 0049-089X.
Constantinides, Panos, Henfridsson, Ola and Parker, G. (2018) Introduction—Platforms and infrastructures in the digital age. Information Systems Research, 29 (2). pp. 381-400. doi:10.1287/isre.2018.0794 ISSN 1526-5536.
Conte, Rosaria, Gilbert, G. Nigel, Bonelli, Giulia, Cioffi-Revilla, Claudio A., Deffuant, Guillaume, Kertész, János, Loreto, Vittorio, Moat, Helen Susannah, Nadal, Jean-Pierre, Sanchez, Angel, Nowak, Andrzej, Flache, Andreas, San Miguel, Maxi and Helbing, Dirk (2012) Manifesto of computational social science. The European Physical Journal Special Topics, Volume 214 (Number 1). pp. 325-346. doi:10.1140/epjst/e2012-01697-8 ISSN 1951-6355.
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) A model for distributed in-network and near-edge computing with heterogeneous hardware. Future Generation Computer Systems, 105 . pp. 395-409. doi:10.1016/j.future.2019.11.040 ISSN 0167-739X.
Cooper, Chris, Brown, Anna, Court, Rachel A. and Schauberger, Ute (2022) A technical review of the ISPOR presentations database identified issues in the search interface and areas for future development. International Journal of Technology Assessment in Health Care, 38 (1). e29. doi:10.1017/S0266462322000137 ISSN 0266-4623.
Cooper, Laura J. and Sprittles, James E. (2020) A computational study of fluctuating viscoelastic forces on trapped interfaces in porous media. European Journal of Mechanics - B Fluids, 84 . pp. 496-506. doi:10.1016/j.euromechflu.2020.07.010 ISSN 0997-7546.
Corea, Stephen (2006) Information technology and the modalisation of organisational behaviour: a theoretical framework. Journal of Information Technology, Vol.21 (No.2). pp. 86-98. doi:10.1057/palgrave.jit.2000060 ISSN 0268-3962.
Cormode, Graham (2017) Data sketching. Communications of the ACM, 60 (9). pp. 48-55. doi:10.1145/3080008 ISSN 0001-0782.
Cormode, Graham, Dasgupta, Anirban, Goyal, Amit and Lee, Chi Hoon (2018) An evaluation of multi-probe locality sensitive hashing for computing similarities over web-scale query logs. PLoS One, 13 (1). e0191175. doi:10.1371/journal.pone.0191175 ISSN 1932-6203.
Cormode, Graham and Jowhari, Hossein (2017) A second look at counting triangles in graph streams (corrected). Theoretical Computer Science, 683 . pp. 22-30. doi:10.1016/j.tcs.2016.06.020 ISSN 0304-3975.
Cormode, Graham and Jowhari, Hossein (2014) A second look at counting triangles in graph streams. Theoretical Computer Science, Volume 552 . pp. 44-51. doi:10.1016/j.tcs.2014.07.025 ISSN 0304-3975.
Cormode, Graham, Karnin, Zohar, Liberty, Edo, Thaler, Justin and Veselý, Pavel (2023) Relative error streaming quantiles. Journal of the ACM, 70 (5). pp. 1-48. doi:10.1145/3617891 ISSN 0004-5411.
Cormode, Graham, Srivastava, Divesh, Yu, Ting and Zhang, Qing (2010) Anonymizing bipartite graph data using safe groupings. VLDB Journal, Volume 19 (Number 1). pp. 115-139. doi:10.1007/s00778-009-0167-9 ISSN 1066-8888.
Cormode, Graham and Veselý, Pavel (2021) Streaming algorithms for bin packing and vector scheduling. Theory of Computing Systems, 65 . pp. 916-942. doi:10.1007/s00224-020-10011-y ISSN 1432-4350.
Cosma, Georgina and Joy, Mike (2012) An approach to source-code plagiarism detection and investigation using latent semantic analysis. IEEE Transactions on Computers, Vol.61 (No.3). pp. 379-394. doi:10.1109/TC.2011.223 ISSN 0018-9340.
Costa, Maria J. (Maria João) and Shaw, J. Ewart H. (2009) Parametrization and penalties in spline models with an application to survival analysis. Computational Statistics & Data Analysis, Vol.53 (No.3). pp. 657-670. doi:10.1016/j.csda.2008.07.026 ISSN 0167-9473.
Courson, Juliette, Quoy, Mathias, Timofeeva, Yulia and Manos, Thanos (2024) An exploratory computational analysis in mice brain networks of widespread epileptic seizure onset locations along with potential strategies for effective intervention and propagation control. Frontiers in Computational Neuroscience, 18 . 1360009. doi:10.3389/fncom.2024.1360009 ISSN 1662-5188.
Coy, Sam, Czumaj, Artur, Scheideler, Christian, Schneider, Philipp and Werthmann, Julian (2024) Routing schemes for hybrid communication networks. Theoretical Computer Science, 985 . 114352. doi:10.1016/j.tcs.2023.114352 ISSN 0304-3975.
Cragg, Emma and Brewerton, Antony (2011) ‘Twitter ye not?’ 23 Things that helped Warwick University Library staff to develop their Web 2.0 skills. SCONUL Focus, Volume 53 . pp. 20-24. ISSN 1745-5782.
Craig, Iain D. (1995) Rule interpreters in ELEKTRA. Kybernetes, Volume 24 (Number 3). pp. 37-49. doi:10.1108/03684929510087251 ISSN 0368-492X.
Cremona, J. E. and Fisher, T. A. (2009) On the equivalence of binary quartics. Journal of Symbolic Computation, Vol.44 (No.6). pp. 673-682. doi:10.1016/j.jsc.2008.09.004 ISSN 0747-7171.
Cristea, Alexandra I. (2003) Adaptive patterns in authoring of educational adaptive hypermedia. Educational Technology and Society, Volume 6 (Number 4). pp. 1-5. ISSN 1436-4522.
Cristea, Alexandra I. (2005) Authoring of adaptive hypermedia. Educational Technology and Society, Volume 8 (Number 3). pp. 6-8. doi:10.4018/978-1-59140-690-7.ch010 ISSN 1436-4522.
Cristea, Alexandra I. (2004) Innovations in advanced technology for learning : authoring for adaptive educational hypermedia. Advanced Technology For Learning, Volume 1 (Number 4). ISSN 1710-2251.
Cristea, Alexandra I. (2004) Is semi-automatic authoring of adaptive educational hypermedia possible? Advanced Technology For Learning, Volume 1 (Number 4). pp. 227-236. ISSN 1710-2251.
Cristea, Alexandra I. (2004) What can the semantic web do for adaptive educational hypermedia? Educational Technology and Society, Volume 7 (Number 4). pp. 40-58. ISSN 1436-4522.
Cristea, Alexandra I. and Carro, R. M. (2007) Authoring of adaptive and adaptable hypermedia : an introduction. International Journal of Learning Technology (IJLT), Volume 3 (Number 3). pp. 203-208. ISSN 1477-8386.
Cristea, Alexandra I. and Cristea, P. (2004) Evaluation of adaptive hypermedia authoring patterns during a Socrates programme class. Advanced Technology For Learning, Volume 1 (Number 2). pp. 115-124. ISSN 1710-2251.
Cristea, Alexandra I. and Ghali, Fawaz (2011) Towards adaptation in e-learning 2.0. New Review of Hypermedia and Multimedia, 17 (2). pp. 199-238. doi:10.1080/13614568.2010.541289 ISSN 1361-4568.
Cristea, Alexandra I. and Okamoto, Toshio (2001) Object-oriented collaborative course authoring environment supported by concept mapping in MyEnglishTeacher. Educational Technology and Society, Volume 4 (Number 2). pp. 104-115. ISSN 1436-4522.
Cristea, Alexandra I., Smits, David and Bra, Paul M. E. de (2007) Towards a generic adaptive hypermedia platform : a conversion case study. Journal of Digital Information, Volume 8 (Number 3). ISSN 1368-7506.
Cristea, Alexandra I., Stewart, C., Brailsford, T. and Cristea, P. (2007) Adaptive hypermedia system interoperability : a 'real world' evaluation. Journal of Digital Information, Volume 8 (Number 3). ISSN 1368-7506.
Crosby, Henry James, Damoulas, Theodoros, Caton, Alexander, Davis, Paul, Albuquerque, João Porto de and Jarvis, Stephen A. (2018) Road distance and travel time for an improved house price Kriging predictor. Geo-spatial Information Science, 21 (3). pp. 185-194. doi:10.1080/10095020.2018.1503775 ISSN 1009-5020.
Crosby, Henry James, Damoulas, Theodoros and Jarvis, Stephen A. (2019) Road and travel time cross-validation for urban modelling. International Journal of Geographical Information Science, 34 (1). pp. 98-118. doi:10.1080/13658816.2019.1658876 ISSN 1362-3087.
Cryan, Mary, Goldberg, Leslie Ann and Goldberg, Paul W. (2001) Evolutionary trees can be learned in polynomial time in the two-state general Markov model. SIAM Journal on Computing, Volume 31 (Number 2). pp. 375-397. ISSN 0097-5397.
Cryan, Mary, Goldberg, Leslie Ann and Phillips, Cynthia A. (1999) Approximation algorithms for the fixed-topology phylogenetic number problem. Algorithmica, Volume 25 (Number 2-3). pp. 311-329. ISSN 0178-4617.
Cui, Kai, Leeson, Mark S. and Hines, Evor (2010) Direct-detection synchronous O-CDMA system with interference estimation and cancellation. Photonic Network Communications, Vol.19 (No.3). pp. 277-283. doi:10.1007/s11107-009-0232-8 ISSN 1387-974X.
Curchod, Corentin, Patriotta, Gerardo, Cohen, Laurie and Neysen, Nicolas (2020) Working for an algorithm : power asymmetries and agency in online work settings. Administrative Science Quarterly, 65 (3). pp. 644-676. doi:10.1177/0001839219867024 ISSN 1930-3815.
Curme, Chester, Zhuo, Ying Daisy, Moat, Helen Susannah and Preis, Tobias (2017) Quantifying the diversity of news around stock market moves. The Journal of Network Theory in Finance, 3 (1). pp. 1-20. doi:10.21314/JNTF.2017.027 ISSN 2055-7795.
Currie, Wendy L. and Guah, Matthew W. (2007) Conflicting institutional logics: a national programme for IT in the organisational field of healthcare. Journal of Information Technology, Vol.22 (No.3). pp. 235-247. doi:10.1057/palgrave.jit.2000102 ISSN 0268-3962.
Currie, Wendy L. and Parikh, Mihir A. (2005) Value creation in web services: An integrative model. Journal of Strategic Information Systems, Volume 15 (Number 2). pp. 153-174. doi:10.1016/j.jsis.2005.10.001 ISSN 0963-8687.
Cygan, Marek, Kratsch, Stefan, Pilipczuk, Marcin, Pilipczuk, Michał and Wahlström, Magnus (2014) Clique cover and graph separation : new incompressibility results. ACM Transactions on Computation Theory, Volume 6 (Number 2). pp. 1-19. doi:10.1145/2594439 ISSN 1942-3454.
Cygan, Marek, Lokshtanov, Daniel, Pilipczuk, Marcin, Pilipczuk, Michał and Saurabh, Saket (2014) On cutwidth parameterized by vertex cover. Algorithmica, Volume 68 (Number 4). pp. 940-953. doi:10.1007/s00453-012-9707-6 ISSN 0178-4617.
Cygan, Marek and Pilipczuk, Marcin (2015) Faster exponential-time algorithms in graphs of bounded average degree. Information and Computation, 243 . pp. 75-85. doi:10.1016/j.ic.2014.12.007 ISSN 0890-5401.
Cygan, Marek, Pilipczuk, Marcin and Pilipczuk, Michał (2016) On group feedback Vertex Set parameterized by the size of the cutset. Algorithmica, 74 (2). pp. 630-642. doi:10.1007/s00453-014-9966-5 ISSN 0178-4617.
Cygan, Marek, Pilipczuk, Marcin, Pilipczuk, Michał and Wojtaszczyk, Jakub Onufry (2014) Scheduling partially ordered jobs faster than 2n. Algorithmica, Volume 68 (Number 3). pp. 692-714. doi:10.1007/s00453-012-9694-7 ISSN 0178-4617.
Cygan, Marek, Pilipczuk, Marcin, Pilipczuk, Michał and Wojtaszczyk, Jakub Onufry (2015) Sitting closer to friends than enemies, revisited. Theory of Computing Systems, 56 . pp. 394-405. doi:10.1007/s00224-014-9558-4 ISSN 1432-4350.
Czerwinski, Wojciech, Lasota, Slawomir , Lazic, Ranko, Leroux, Jérôme and Mazowiecki, Filip (2021) The reachability problem for petri nets is not elementary. Journal of the ACM, 68 (1). 7. doi:10.1145/3422822 ISSN 0004-5411.
Czumaj, Artur, Davies, Peter and Parter, Merav (2021) Graph sparsification for derandomizing massively parallel computation with low space. ACM Transactions on Algorithms, 17 (2). 16. doi:10.1145/3451992 ISSN 1549-6325.
Czumaj, Artur, Davies-Peck, Peter and Parter, Merav (2024) Component stability in low-space massively parallel computation. Distributed Computing, 37 (1). pp. 35-64. doi:10.1007/s00446-024-00461-9 ISSN 0178-2770.
Czumaj, Artur, Deligkas, Argyrios, Fasoulakis, Michail, Fearnley, John, Jurdzinski, Marcin and Savani, Rahul (2018) Distributed methods for computing approximate equilibria. Algorithmica . doi:10.1007/s00453-018-0465-y ISSN 0178-4617.
Czumaj, Artur, Kontogeorgiou, George and Paterson, Mike (2023) Haystack hunting hints and locker room communication. Random Structures & Algorithms, 62 (4). pp. 832-856. doi:10.1002/rsa.21114 ISSN 1042-9832.
Czumaj, Artur, Kowaluk, Miroslaw and Lingas, Andrzej (2007) Faster algorithms for finding lowest common ancestors in directed acyclic graphs. Theoretical Computer Science, Vol.380 (No.1-2). pp. 37-46. doi:10.1016/j.tcs.2007.02.053 ISSN 0304-3975.
Czumaj, Artur, Krysta, Piotr and Vöcking, Berthold (2010) Selfish traffic allocation for server farms. SIAM Journal on Computing, Vol.39 (No.5). pp. 1957-1987. doi:10.1137/070693862 ISSN 0097-5397.
Czumaj, Artur and Lingas, Andrzej (2023) On parallel time in population protocols. Information Processing Letters, 179 . 106314. doi:10.1016/j.ipl.2022.106314 ISSN 0020-0190.
Czumaj, Artur and Sohler, Christian (2009) Estimating the weight of metric minimum spanning trees in sublinear time. SIAM Journal on Computing, Vol.39 (No.3). pp. 904-922. doi:10.1137/060672121 ISSN 0097-5397.
Czumaj, Artur and Sohler, Christian (2009) Small space representations for metric min-sum k-clustering and their applications. Theory of Computing Systems, Volume 46 (Number 3). pp. 416-442. doi:10.1007/s00224-009-9235-1 ISSN 1433-0490.
Czumaj, Artur and Sohler, Christian (2008) Testing Euclidean minimum spanning trees in the plane. ACM Transactions on Algorithms, Volume 4 (Number 3). p. 31. Article Number 31. doi:10.1145/1367064.1367071 ISSN 1549-6325.
Czumaj, Artur, Łącki, Jakub, Mądry, Aleksander, Mitrović, Slobodan, Onak, Krzysztof and Sankowski, Piotr (2019) Round compression for parallel matching algorithms. SIAM Journal of Computing, 49 (5). p. 1. STOC18-1–STOC18-44. doi:10.1137/18M1197655 ISSN 0097-5397.
Dacko, Scott G. (2017) Enabling smart retail settings via mobile augmented reality shopping apps. Technological Forecasting & Social Change, 124 . pp. 243-256. doi:10.1016/j.techfore.2016.09.032 ISSN 0040-1625.
Dall'Agnol, Marcel, Gur, Tom, Moulik, Subhayan Roy and Thaler, Justin (2022) Quantum proofs of proximity. Quantum, 6 . 834. doi:10.22331/q-2022-10-13-834
Darby, James P., Kovács, Dávid P., Batatia, Ilyes, Caro, Miguel A., Hart, Gus L. W., Ortner, Christoph and Csányi, Gábor (2023) Tensor-reduced atomic density representations. Physical Review Letters, 131 (2). 028001. doi:10.1103/PhysRevLett.131.028001 ISSN 0031-9007.
Darmon, Alexandra N. M., Bazzi, Marya, Howison, Sam D. and Porter, Mason A. (2021) Pull out all the stops : textual analysis via punctuation sequences. European Journal of Applied Mathematics, 32 (6). pp. 1069-1105. doi:10.1017/S0956792520000157 ISSN 0956-7925.
Das Choudhury, Sruti and Tjahjadi, Tardi (2015) Robust view-invariant multiscale gait recognition. Pattern Recognition, Volume 48 (Number 3). pp. 798-811. doi:10.1016/j.patcog.2014.09.022 ISSN 0031-3203.
Daviaud, Laure, Jurdzinski, Marcin, Lazic, Ranko, Mazowiecki, Filip, Pérez, Guillermo A. and Worrell, James (2021) When are emptiness and containment decidable for probabilistic automata? Journal of Computer and System Sciences, 119 . pp. 78-96. doi:10.1016/j.jcss.2021.01.006 ISSN 0022-0000.
Daviaud, Laure and Paperman, Charles (2018) Classes of languages generated by the Kleene star of a word. Information and Computation, 262 (Part 1). pp. 90-109. doi:10.1016/j.ic.2018.07.002 ISSN 0890-5401.
Davidson, T., Gay, S. J., Mlnařík, H., Nagarajan, Rajagopal and Papanikolaou, Nikolaos K. (2012) Model checking for communicating quantum processes. International Journal of Unconventional Computing, Vol.8 (No.1). pp. 73-98. ISSN 1548-7199.
Davis, J. A., Mudalige, Gihan R., Hammond, Simon D., Herdman, J. A., Miller, I. and Jarvis, Stephen A. (2011) Predictive analysis of a hydrodynamics application on large-scale CMP clusters. Computer Science - Research and Development, Volume 26 (Number 3-4). pp. 175-185. doi:10.1007/s00450-011-0164-2 ISSN 1865-2034.
Dawood, Muhammad, Asif, Amina and Minhas, Fayyaz ul Amir Afsar (2019) Deep-PHURIE : deep learning based hurricane intensity estimation from infrared satellite imagery. Neural Computing and Applications . doi:10.1007/s00521-019-04410-7 ISSN 0941-0643.
Deb, Kalyanmoy, Gupta, Shubham, Daum, David, Branke, Jürgen, Mall, Abhishek Kumar and Padmanabhan, Dhanesh (2009) Reliability-based optimization using evolutionary algorithms. IEEE Transactions on Evolutionary Computation, Vol.13 (No.5). pp. 1054-1074. doi:10.1109/TEVC.2009.2014361 ISSN 1089-778X.
Debattista, Kurt (2018) Application-specific tone mapping via genetic programming. Computer Graphics Forum, 37 (1). pp. 439-450. doi:10.1111/cgf.13307 ISSN 0167-7055.
Debattista, Kurt, Bashford-Rogers, Thomas, Harvey, Carlo, Waterfield, Brian and Chalmers, Alan (2018) Subjective evaluation of high-fidelity virtual environments for driving simulations. IEEE Transactions on Human-Machine Systems, 48 (1). pp. 30-40. doi:10.1109/THMS.2017.2762632 ISSN 2168-2291.
Debattista, Kurt, Bashford-Rogers, Thomas, Selmanovic, Elmedin, Mukherjee, Ratnajit and Chalmers, Alan (2015) Optimal exposure compression for high dynamic range content. The Visual Computer, 31 (6-8). pp. 1089-1099. doi:10.1007/s00371-015-1121-z ISSN 0178-2789.
Debattista, Kurt, Chalmers, Alan, Gillibrand, R., LonghurSt, P., Mastoropouiou, G. and Sundstedt, V. (2007) Parallel selective rendering of high-fidelity virtual environments. Parallel Computing, Vol.33 (No.6). pp. 361-376. doi:10.1016/j.parco.2007.04.002 ISSN 0167-8191.
Debattista, Kurt, Dubla, P., Banterle, Francesco, Santos, L. P. and Chalmers, Alan (2009) Instant caching for interactive global illumination. Computer Graphics Forum, Vol.28 (No.8). pp. 2216-2228. doi:10.1111/j.1467-8659.2009.01435.x ISSN 0167-7055.
Debattista, Kurt, Dubla, Piotr, Santos, Luis Paulo and Chalmers, Alan (2011) Wait-free shared-memory irradiance caching. IEEE Computer Graphics and Applications, Vol.31 (No.5). pp. 66-78. doi:10.1109/MCG.2010.80 ISSN 0272-1716.
Deco, G., Rolls, Edmund T. and Romo, R. (2010) Synaptic dynamics and decision making. Proceedings of the National Academy of Sciences of the United States of America, Vol.107 (No.16). pp. 7545-7549. doi:10.1073/pnas.1002333107 ISSN 0027-8424.
Deineko, Vladimir G., Jonsson, P., Klasson, Mikael and Krokhin, Andrei (2008) The approximability of MAX CSP with fixed-value constraints. Association for Computing Machinery Journal, Vol.55 (No.4). doi:10.1145/1391289.1391290 ISSN 0004-5411.
Deineko, Vladimir G. and Tiskin, Alexander (2009) Fast minimum-weight double-tree shortcutting for metric TSP. Journal of Experimental Algorithmics, Vol.14 . 4.6. doi:10.1145/1498698.1594232 ISSN 1084-6654.
Deineko, Vladimir G. and Tiskin, Alexander (2009) Min-weight double-tree shortcutting for metric TSP : bounding the approximation ratio. Electronic Notes in Discrete Mathematics, Volume 32 . pp. 19-26. doi:10.1016/j.endm.2009.02.004 ISSN 1571-0653.
Deineko, Vladimir G. and Woeginger, Gerhard J. (2009) A new family of scientific impact measures : the generalized Kosmulski-indices. Scientometrics, Vol.80 (No.3). pp. 819-826. doi:10.1007/s11192-009-2130-0 ISSN 0138-9130.
Demirci, Gunduz Vehbi, Ferhatosmanoglu, Hakan and Aykanat, Cevdet (2018) Cascade-aware partitioning of large graph databases. The VLDB Journal, 28 (3). pp. 329-350. doi:10.1007/s00778-018-0531-8 ISSN 1066-8888.
Demirci, Gunduz Vehbi, Haldar, Aparajita and Ferhatosmanoglu, Hakan (2022) Scalable graph convolutional network training on distributed-memory systems. Proceedings of the VLDB Endowment, 16 (4). pp. 711-724. doi:10.14778/3574245.3574256 ISSN 2150-8097.
Demri, Stéphane P. and Lazic, Ranko (2009) LTL with the freeze quantifier and register automata. ACM Transactions on Computational Logic (TOCL), Vol.10 (No.3). p. 16. doi:10.1145/1507244.1507246 ISSN 1529-3785.
Demri, Stéphane P., Lazic, Ranko and Sangnier, Arnaud (2010) Model checking memoryful linear-time logics over one-counter automata. Theoretical Computer Science, Vol.411 (No.22-24). pp. 2298-2316. doi:10.1016/j.tcs.2010.02.021 ISSN 0304-3975.
Derlyatka, Anton , Fomenko, Oleg , Eck, Felicia, Khmelev, Egor and Elliott, Mark T. (2019) Bright spots, physical activity investments that work : sweatcoin : a steps generated virtual currency for sustained physical activity behaviour change. British Journal of Sports Medicine . doi:10.1136/bjsports-2018-099739 ISSN 0306-3674.
Despotou, George, Evans, Jill, Nash, William, Eavis, Alexandra, Robbins, Tim and Arvanitis, Theodoros N. (2020) Evaluation of patient perception towards dynamic health data sharing using blockchain based digital consent with the Dovetail digital consent application : a cross sectional exploratory study. Digital Health, 6 . doi:10.1177/2055207620924949 ISSN 2055-2076.
Dhasarathan, Chandramohan, Shanmugam, M., Kumar, Manish, Tripathi, Diwakar, Khapre, Shailesh and Shankar, Achyut (2024) A nomadic multi-agent based privacy metrics for e-health care : a deep learning approach. Multimedia Tools and Applications, 83 . pp. 7249-7272. doi:10.1007/s11042-023-15363-4 ISSN 1380-7501.
Dhillon, Sundeep and Murray, Neil (2021) An investigation of EAP teachers’ views and experiences of e-learning technology. Education Sciences, 11 (2). 54. doi:10.3390/educsci11020054 ISSN 2227-7102.
Dhok, Shivani, Chouhan, Lokendra, Noel, Adam and Sharma, Prabhat (2022) Cooperative molecular communication in drift-induced diffusive cylindrical channel. IEEE Transactions on Molecular, Biological, and Multi-Scale Communications, 8 (1). pp. 44-55. doi:10.1109/TMBMC.2021.3089939 ISSN 2332-7804.
Di Dio, Cinzia, Manzi, Federico, Miraglia, Laura, Gummerum, Michaela, Bigozzi, Simone, Davide, Massaro and Marchetti, Antonella (2023) Virtual agents and risk-taking behavior in adolescence : the twofold nature of nudging. Scientific Reports, 13 (1). 11242. doi:10.1038/s41598-023-38399-w ISSN 2045-2322.
Dicheva, Darina, Aroyo, Lora and Cristea, Alexandra I. (2003) Cooperative courseware authoring support. International Journal of Computers and Applications, Volume 25 (Number 3). pp. 179-187. ISSN 1206-212X.
Didelot, Xavier, Lawson, Daniel J. and Falush, Daniel (2009) SimMLST: simulation of multi-locus sequence typing data under a neutral model. Bioinformatics, Vol.25 (No.11). pp. 1442-1444. doi:10.1093/bioinformatics/btp145 ISSN 1367-4803.
Dieter, Michael (2022) Interface critique at large. Convergence: The International Journal of Research into New Media Technologies . doi:10.1177/13548565221135833 ISSN 1354-8565. (In Press)
Dillon, James, Andrianakis, Ioannis, Bull, Kate, Glautier, Steve, O'Connor, Vincent, Holden-Dye, Lindy and James, C. J. (2009) AutoEPG: software for the analysis of electrical activity in the microcircuit underpinning feeding behaviour of Caenorhabditis elegans. PLoS One, Vol.4 (No.12). Article: e8482. doi:10.1371/journal.pone.0008482 ISSN 1932-6203.
Dinh, Le Cong, Mguni, David Henry, Tran-Thanh, Long, Wang, Jun and Yang, Yaodong (2023) Online Markov decision processes with non-oblivious strategic adversary. Autonomous Agents and Multi-Agent Systems, 37 (1). 15. doi:10.1007/s10458-023-09599-5 ISSN 1387-2532.
Doan, Xuan Vinh, Kruk, Serge and Wolkowicz, Henry (2011) A robust algorithm for semidefinite programming. Optimization Methods and Software, 27 (4-5). pp. 1-27. doi:10.1080/10556788.2011.610456 ISSN 1055-6788.
Doan, Xuan Vinh, Lei, Xiao and Shen, Siqian (2020) Pricing of reusable resources under ambiguous distributions of demand and service time with emerging applications. European Journal of Operational Research, 282 (1). pp. 235-251. doi:10.1016/j.ejor.2019.09.003 ISSN 0377-2217.
Dolog, P., Kravcik, M., Cristea, Alexandra I., Burgos, D., Bra, Paul M. E. de, Ceri, S., Devedzic, V., Houben, G. J., Libbrecht, P., Matera, M., Melis, E., Nejdl, W., Specht, M., Stewart, C., Smits, David, Stash, Natalia and Tat, C. (2007) Specification, authoring and prototyping of personalised workplace learning solutions. International Journal of Learning Technology (IJLT), Volume 3 (Number 3). pp. 286-308. doi:10.1504/IJLT.2007.015447 ISSN 1477-8386.
Dong, Hongyang and Zhao, Xiaowei (2022) Composite experience replay based deep reinforcement learning with application in wind farm control. IEEE Transactions on Control Systems Technology, 30 (3). pp. 1281-1295. doi:10.1109/TCST.2021.3102476 ISSN 1063-6536.
Dong, Hongyang, Zhao, Xiaowei and Yang, Haoyang (2021) Reinforcement learning-based approximate optimal control for attitude reorientation under state constraints. IEEE Transactions on Control Systems Technology, 29 (4). pp. 1664-1673. doi:10.1109/TCST.2020.3007401 ISSN 1063-6536.
Donnelly, James, Abolfathi, Soroush, Pearson, Jonathan, Chatrabgoun, Omid and Daneshkhah, Alireza (2022) Gaussian Process emulation of spatiotemporal outputs of a 2D inland flood model. Water Research, 225 . 119100. doi:10.1016/j.watres.2022.119100 ISSN 0043-1354.
Donnelly, James, Daneshkhah, Alireza and Abolfathi, Soroush (2024) Physics-informed neural networks as surrogate models of hydrodynamic simulators. Science of The Total Environment, 912 . 168814. doi:10.1016/j.scitotenv.2023.168814 ISSN 0048-9697.
Donzis, Diego A., Gibbon, John D., Gupta, Anupam (Researcher in Computer Science), Kerr, Robert M. (Robert McDougall), Pandit, Rahul and Vincenzi, Dario (2013) Vorticity moments in four numerical simulations of the 3D Navier–Stokes equations. Journal of Fluid Mechanics, Volume 732 . pp. 316-331. doi:10.1017/jfm.2013.409 ISSN 0022-1120.
Doukakis, Efstratios, Debattista, Kurt, Bashford-Rogers, Thomas, Dhokia, Amar, Asadipour, Ali, Chalmers, Alan and Harvey, C. (2019) Audio-visual-olfactory resource allocation for tri-modal virtual environments. IEEE Transactions on Visualization and Computer Graphics, 25 (5). pp. 1865-1875. doi:10.1109/TVCG.2019.2898823 ISSN 1077-2626.
Druliac, Horia, Bardsley, Matthew, Riches, Chris, Dunn, Christian, Harrison, Luke, Roy, Bimal and Hao, Feng (2024) On the feasibility of E2E verifiable online voting – a case study from Durga Puja trial. Journal of Information Security and Applications, 81 . 103719. doi:10.1016/j.jisa.2024.103719 ISSN 22142126.
Drummond, R., Neilson, D., Packwood, R. A. and Jarvis, Stephen A. (2002) Thinking big : mainframe linux. Linux Pro, June . pp. 3-11.
Du, Jiachen, Gui, Lin, He, Yulan, Xu, Ruifeng and Wang, Xuan (2019) Convolution-based neural attention with applications to sentiment classification. IEEE Access, 7 . 27983 -27992. doi:10.1109/ACCESS.2019.2900335 ISSN 2169-3536.
Duan, Lvyin, Marnerides, Demetris, Chalmers, Alan, Lei, Zhichun and Debattista, Kurt (2022) Deep controllable backlight dimming for HDR displays. IEEE Transactions on Consumer Electronics, 68 (3). pp. 191-199. doi:10.1109/TCE.2022.3188806 ISSN 0098-3063.
Dubla, P., Debattista, Kurt and Chalmers, Alan (2009) Adaptive interleaved sampling for interactive high-fidelity rendering. Computer Graphics Forum, Vol.28 (No.8). pp. 2117-2130. doi:10.1111/j.1467-8659.2009.01419.x ISSN 0167-7055.
Duffy, K. R., O'Connell, Neil and Sapozhnikov, A. (2008) Complexity analysis of a decentralised graph colouring algorithm. Information Processing Letters, Volume 107 (Number 2). pp. 60-63. doi:10.1016/j.ipl.2008.01.002 ISSN 0020-0190.
Durrant, Simon and Feng, Jianfeng (2006) Negatively correlated firing: the functional meaning of lateral inhibition within cortical columns. BIOLOGICAL CYBERNETICS, 95 (5). pp. 431-453. doi:10.1007/s00422-006-0096-2 ISSN 0340-1200.
Dwivedi, Yogesh K., Lal, Banita, Mustafee, Navonil and Williams, Michael D. (2009) Profiling a decade of Information Systems Frontiers' research. Information Sysytems Frontiers, Vol.11 (No.1). pp. 87-102. doi:10.1007/s10796-008-9147-7 ISSN 1387-3326.
Dyer, Martin, Goldberg, Leslie Ann, Greenhill, Catherine, Istrate, Gabriel and Jerrum, Mark (2002) Convergence of the iterated prisoner's dilemma game. Combinatorics, Probability & Computing, Volume 11 (Number 2). pp. 135-147. doi:10.1017/S096354830100503X ISSN 0963-5483.
Dyer, Martin, Goldberg, Leslie Ann, Greenhill, Catherine and Jerrum, Mark (2004) The relative complexity of approximate counting problems. Algorithmica, Volume 38 (Number 3). pp. 471-500. doi:10.1007/s00453-003-1073-y ISSN 0178-4617.
Dyer, Martin, Goldberg, Leslie Ann and Paterson, Michael S. (2007) On counting homomorphisms to directed acyclic graphs. Journal of the ACM, Vol.54 (No.6). Article: 27. doi:10.1145/1314690.1314691 ISSN 0004-5411.
(2022) Visualization for epidemiological modelling : challenges, solutions, reflections and recommendations. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 380 (2233). 20210299. doi:10.1098/rsta.2021.0299 ISSN 1364-503X.
Dzhamtyrova, Raisa and Maple, Carsten (2022) Dynamic cyber risk estimation with competitive quantile autoregression. Data Mining and Knowledge Discovery, 36 . pp. 513-536. doi:10.1007/s10618-021-00814-z ISSN 1384-5810.
Ede, Jeffrey M. (2020) Warwick electron microscopy Datasets. Machine Learning : Science and Technology, 1 (4). 045003. doi:10.1088/2632-2153/ab9c3c ISSN 2632-2153.
Ede, Jeffrey M. and Beanland, Richard (2020) Adaptive learning rate clipping stabilizes learning. Machine Learning : Science and Technology, 1 (1). 015011. doi:10.1088/2632-2153/ab81e2 ISSN 2632-2153.
Ede, Jeffrey M. and Beanland, Richard (2020) Partial scanning transmission electron microscopy with deep learning. Scientific Reports, 10 . 8332. doi:10.1038/s41598-020-65261-0 ISSN 2045-2322.
Efthymiou, Charilaos and Spirakis, Paul G. (2010) Sharp thresholds for Hamiltonicity in random intersection graphs. Theoretical Computer Science, Vol.411 (No.40-42). pp. 3714-3730. doi:10.1016/j.tcs.2010.06.022 ISSN 0304-3975.
Ekim, Tinaz, Ries, Bernard and de Werra, Dominique (2010) Split-critical and uniquely split-colorable graphs. Discrete Mathematics & Theoretical Computer Science, Vol.12 (No.5). pp. 1-24. ISSN 1365-8050.
El-Nahal, Fady, Xu, Tianhua, Alqahtani, Dokhyl and Leeson, Mark S. (2022) A bidirectional wavelength division multiplexed (WDM) free space optical communication (FSO) system for deployment in data center networks (DCNs). Sensors, 22 (24). 9703. doi:10.3390/s22249703 ISSN 1424-8220.
Elizabeth, Hartung, Hoang, Hung P., Mutze, Torsten and Williams, Aaron (2022) Combinatorial generation via permutation languages. I. Fundamentals. Transactions of the American Mathematical Society, 375 . pp. 2255-2291. doi:10.1090/tran/8199 ISSN 0002-9947.
Emrouznejad, Ali and Shale, Estelle (2009) A combined neural network and DEA for measuring efficiency of large scale datasets. Computers and Industrial Engineering, Vol.56 (No.1). pp. 249-254. doi:10.1016/j.cie.2008.05.012 ISSN 0360-8352.
Englert, Matthias, Franke, T. and Olbrich, L. (2010) Sensitivity of wardrop equilibria. Theory of Computing Systems, Volume 47 (Number 1). pp. 3-14. doi:10.1007/s00224-009-9196-4 ISSN 1432-4350.
Englert, Matthias, Gupta, Anupam, Krauthgamer, Robert, Räcke, Harald, Talgam-Cohen, Inbal and Talwar, Kunal (2014) Vertex sparsifiers : new results from old techniques. SIAM Journal on Computing, Volume 43 (Number 4). pp. 1239-1262. doi:10.1137/130908440 ISSN 0097-5397.
Englert, Matthias, Hofman, Piotr, Lasota, Sławomir, Lazic, Ranko, Leroux, Jérôme and Straszyński, Juliusz (2021) A lower bound for the coverability problem in acyclic pushdown VAS. Information Processing Letters, 167 . 106079. doi:10.1016/j.ipl.2020.106079 ISSN 0020-0190.
Englert, Matthias, Mezlaf, David and Westermann, Matthias (2021) Online makespan scheduling with job migration on uniform machines. Algorithmica, 83 . pp. 3537-3566. doi:10.1007/s00453-021-00852-5 ISSN 0178-4617.
Englert, Matthias, Raecke, Harald and Westermann, Matthias (2010) Reordering buffers for general metric spaces. Theory of Computing, Vol.6 (No.1). pp. 27-46. doi:10.4086/toc.2010.v006a002 ISSN 1557-2862.
Englert, Matthias, Röglin, H. and Westermann, M. (2009) Evaluation of online strategies for reordering buffers. Experimental Algorithmics (JEA), Volume 14 . Article number 3. doi:10.1145/1498698.1564503 ISSN 1084-6654.
Englert, Matthias, Röglin, Heiko and Vöcking, Berthold (2014) Worst case and probabilistic analysis of the 2-Opt algorithm for the TSP. Algorithmica, Volume 68 (Number 1). pp. 190-264. doi:10.1007/s00453-013-9801-4 ISSN 0178-4617.
Englert, Matthias and Westermann, Matthias (2009) Lower and upper bounds on FIFO buffer management in QoS switches. Algorithmica, Volume 53 (Number 4). pp. 523-548. doi:10.1007/s00453-008-9236-5 ISSN 0178-4617.
Englert, Matthias, Özmen, Deniz and Westermann, Matthias (2014) The power of reordering for online minimum makespan scheduling. SIAM Journal on Computing, Volume 43 (Number 3). pp. 1220-1237. doi:10.1137/130919738 ISSN 1095-7111.
Epiphaniou, Gregory, Pillai, Prashant, Bottarelli, Mirko, Al-Khateeb, Haider, Hammoudesh, Mohammad and Maple, Carsten (2020) Electronic regulation of data sharing and processing using smart ledger technologies for supply-chain security. IEEE Transactions on Engineering Management, 67 (4). pp. 1059-1073. doi:10.1109/TEM.2020.2965991 ISSN 0018-9391.
Eravci, Bahaeddin and Ferhatosmanoglu, Hakan (2018) Diverse relevance feedback for time series with autoencoder based summarizations. IEEE Transactions on Knowledge and Data Engineering, 30 (12). 2298 -2311. doi:10.1109/TKDE.2018.2820119 ISSN 1041-4347.
Erturk, Sinan, Hudson, Georgie, Jansli, Sonja M., Morris, Daniel, Odoi, Clarissa M., Wilson, Emma, Clayton-Turner, Angela, Bray, Vanessa, Yourston, Gill, Cornwall, Andrew, Cummins, Nicholas, Wykes, Til and Jilka, Sagar (2022) Codeveloping and evaluating a campaign to reduce dementia misconceptions on Twitter : machine learning study. JMIR Infodemiology, 2 (2). e36871. doi:10.2196/36871 ISSN 2564-1891.
Eshghi, Ashkan, Gopal, Ram D., Hidaji, Hooman and Patterson, Raymond (2023) Now you see it, now you don’t : obfuscation of online third-party information sharing. INFORMS Journal on Computing, 35 (2). pp. 286-303. doi:10.1287/ijoc.2022.1266 ISSN 1091-9856.
Ethier, Jean-Francois, Curcin, Vasa, McGilchrist, Mark, Lim Choi Keung, Sarah Niukyun, Zhao, Lei, Andreasson, Anna, Bródka, Piotr, Michalski, Radoslaw, Arvanitis, Theodoros N., Mastellos, Nikolaos, Burgun, Anita and Delaney, Brendan C. (2017) eSource for clinical trials : implementation and evaluation of a standards-based approach in a real world trial. International Journal of Medical Informatics, 106 . pp. 17-24. doi:10.1016/j.ijmedinf.2017.06.006 ISSN 1386-5056.
Ezer, Daphne and Keir, Joseph (2019) NITPicker : selecting time points for follow-up experiments. BMC Bioinformatics, 20 (1). 166. doi:10.1186/s12859-019-2717-5 ISSN 1471-2105.
Falgas-Ravry, Victor, Larsson, Joel and Markström, Klas (2020) Speed and concentration of the covering time for structured coupon collectors. Advances in Applied Probability, 52 (2). pp. 433-462. doi:10.1017/apr.2020.5 ISSN 0001-8678.
Fan, Xijian and Tjahjadi, Tardi (2019) Fusing dynamic deep learned features and handcrafted features for facial expression recognition. Journal of Visual Communication and Image Representation, 65 . 102659. doi:10.1016/j.jvcir.2019.102659 ISSN 1047-3203.
Fan, Xijian and Tjahjadi, Tardi (2017) A dynamic framework based on local Zernike Moment and motion history image for facial expression recognition. Pattern Recognition, 64 . pp. 399-406. doi:10.1016/j.patcog.2016.12.002 ISSN 0031-3203.
Fang, Yuting, Guo, Weisi, Icardi, Matteo, Noel, Adam and Yang, Nan (2019) Molecular information delivery in porous media. IEEE Transactions on Molecular, Biological, and Multi-Scale Communications, 4 (4). pp. 257-262. doi:10.1109/TMBMC.2019.2937297 ISSN 2372-2061.
Faraji Niri, Mona, Aslansefat, Koorosh, Haghi, Sajedeh, Hashemian, Mojgan, Daub, Rüdiger and Marco, James (2023) A review of the applications of explainable machine learning for lithium–ion batteries : from production to state and performance estimation. Energies, 16 (17). 6360. doi:10.3390/en16176360 ISSN 1996-1073.
Farsad, Nariman, Guo, Weisi and Eckford, Andrew W. (2013) Tabletop molecular communication : text messages through chemical signals. PLoS One, Volume 8 (Number 12). Article number e82935. doi:10.1371/journal.pone.0082935 ISSN 1932-6203.
Fatima, Asra, Li, Ying, Hills, Thomas Trenholm and Stella, Massimo (2021) DASentimental : detecting depression, anxiety, and stress in texts via emotional recall, cognitive networks, and machine learning. Big Data and Cognitive Computing, 5 (4). e77. doi:10.3390/bdcc5040077 ISSN 2504-2289.
Feddag, M-L. and Bacci, S. (2009) Pairwise likelihood for the longitudinal mixed Rasch model. Computational Statistics & Data Analysis, Vol.53 (No.4). pp. 1027-1037. doi:10.1016/j.csda.2008.08.031 ISSN 0167-9473.
Felsner, Stefan, Kleist, Linda, Mutze, Torsten and Sering, Leon (2020) Rainbow cycles in flip graphs. SIAM Journal on Discrete Mathematics, 34 (1). pp. 1-39. doi:10.1137/18M1216456 ISSN 0895-4801.
Feng, Jianfeng, Shcherbina, M., Tirozzi, B. and You, G. Q. (2007) Optimal movement control models of Langevin and Hamiltonian types. Mathematical and Computer Modelling, Vol.46 (No.5-6). pp. 680-698. doi:10.1016/j.mcm.2006.11.033 ISSN 0895-7177.
Fernandez de Arroyabe, Ignacio, Watson, Tim and Angelopoulou, Olga (2023) Cybersecurity in the automotive industry : a systematic literature review (SLR). Journal of Computer Information Systems, 63 (3). pp. 716-734. doi:10.1080/08874417.2022.2103853 ISSN 0887-4417.
Ferracin, Samuele, Kapourniotis, Theodoros and Datta, Animesh (2018) Reducing resources for verification of quantum computations. Physical Review A, 98 (2). 022323 . doi:10.1103/PhysRevA.98.022323 ISSN 1050-2947.
Ferracin, Samuele, Merkel, Seth T., McKay, David and Datta, Animesh (2021) Experimental accreditation of outputs of noisy quantum computers. Physical Review A (Atomic, Molecular and Optical Physics) , 104 (4). 042603 . doi:10.1103/PhysRevA.104.042603 ISSN 1050-2947.
Ferreira, W., Hill, M. R. and Joseph, Mathai (1994) Automated timing analysis of real-time programs. Software engineering journal, 9 (5). pp. 221-227. ISSN 0268-6961.
Firth, David (2003) CGIwithR : facilities for processing web forms using R. Journal of Statistical Software, Volume 8 (Number 10). ISSN 1548-7660.
Firth, David and Turner, Heather (2012) Bradley-Terry models in R : the BradleyTerry2 package. Journal of Statistical Software, Volume 48 (Number 9). ISSN 1548-7660.
Fischer, Michael J. and Paterson, Michael S. (1994) Fishspear : a priority queue algorithm. Journal of the ACM, Volume 41 (Number 1). pp. 3-30. doi:10.1145/174644.174645 ISSN 0004-5411.
Fischer, Simon, Raecke, Harald and Voecking, Berthold (2010) Fast convergence to wardrop equilibria by adaptive sampling methods. SIAM Journal on Computing, Volume 39 (Number 8). pp. 3700-3735. doi:10.1137/090746720 ISSN 0097-5397.
Fortuna, S. (Sara) and Troisi, Alessandro (2009) An artificial intelligence approach for modeling molecular self-assembly : agent-based simulations of rigid molecules. Journal of Physical Chemistry B, Vol.113 (No.29). pp. 9877-9885. doi:10.1021/jp9030442 ISSN 1520-6106.
Fowler, Daniel S., Maple, Carsten and Epiphaniou, Gregory (2023) A practical implementation of quantum-derived keys for secure vehicle-to-infrastructure communications. Vehicles, 5 (4). pp. 1586-1604. doi:10.3390/vehicles5040086 ISSN 2624-8921.
Franciosa, Pasquale, Palit, Arnab, Gerbino, Salvatore and Ceglarek, Darek (2019) A novel hybrid shell element formulation (QUAD+ and TRIA+) : a benchmarking and comparative study. Finite Elements in Analysis and Design, 166 (15). 103319. doi:10.1016/j.finel.2019.103319 ISSN 0168-874X.
Franks, Henry P. W. and Griffiths, Nathan (2015) Robust reputation in decentralized markets. Computational Intelligence, 31 (4). pp. 569-592. doi:10.1111/coin.12036 ISSN 0824-7935.
Franks, Henry P. W., Griffiths, Nathan and Anand, Sarabjot Singh (2014) Learning agent influence in MAS with complex social networks. Autonomous Agents and Multi-Agent Systems, Volume 28 (Number 5). pp. 836-866. doi:10.1007/s10458-013-9241-1 ISSN 1387-2532.
Franks, Henry P. W., Griffiths, Nathan and Jhumka, Arshad (2012) Manipulating convention emergence using influencer agents. Autonomous Agents and Multi-Agent Systems, Volume 26 (Number 3). pp. 315-353. doi:10.1007/s10458-012-9193-x ISSN 1387-2532.
Fraser, Christophe, Lythgoe, Katrina A., Leventhal, Gabriel, Shirreff, George, Hollingsworth, T. Déirdre, Alizon, Samuel and Bonhoeffer, Sebastien (2014) Virulence and pathogenesis of HIV-1 infection : an evolutionary perspective. Science, Volume 343 (Number 6177). Article number 1243727. doi:10.1126/science.1243727 ISSN 0036-8075.
Fresno, Víctor, Zubiaga, Arkaitz, Ji, Heng and Martínez, Raquel (2015) Exploiting geolocation, user and temporal information for natural hazards monitoring in Twitter. Procesamiento del Lenguaje Natural, 54 . pp. 85-92. ISSN 1135-5948 .
Frick, Hannah and Kosmidis, Ioannis (2017) trackeR : infrastructure for running and cycling data from GPS-enabled tracking devices in R. Journal of Statistical Software, 82 (7). pp. 1-29. ISSN 1548-7660.
Friling, S. R., Notman, Rebecca and Walsh, Tiffany R. (2010) Probing diameter-selective solubilisation of carbon nanotubes by reversible cyclic peptides using molecular dynamics simulations. Nanoscale, Vol.2 (No.1). pp. 98-106. doi:10.1039/b9nr00226j ISSN 2040-3364.
Froud, Robert J., Hansen, Solveig Hakestad, Ruud, Hans Kristian, Foss, Jonathan G. K., Ferguson, Leila and Fredriksen, Per Morten (2021) Relative performance of machine learning and linear regression in predicting quality of life and academic performance of school children in Norway : data analysis of a quasi-experimental study. Journal of Medical Internet Research, 23 (7). 22021. doi:10.2196/22021 ISSN 1438-8871.
Fu, Songling, He, Ligang, Huang, Chenlin, Liao, Xiangke and Li, Kenli (2015) Performance optimization for managing massive numbers of small files in distributed file systems. IEEE Transactions on Parallel and Distributed Systems, 26 (12). pp. 3433-3448. doi:10.1109/TPDS.2014.2377720 ISSN 1045-9219.
Fu, Songling, He, Ligang, Liao, Xiangke, Li, Kenli and Huang, Chenlin (2014) Analyzing the impact of storage shortage on data availability in decentralized online social networks. The scientific world journal, Volume 2014 . pp. 1-14. Article number 826145. doi:10.1155/2014/826145 ISSN 1537-744X.
Gaillard, Benoit, Buxton, H. and Feng, Jianfeng (2006) Population approach to a neural discrimination task. Biological Cybernetics, Vol.94 (No.3). pp. 180-191. doi:10.1007/s00422-005-0039-3 ISSN 0340-1200.
Ganian, Robert, Ordyniak, Sebastian and Ramanujan, Maadapuzhi Sridharan (2021) On structural parameterizations of the edge disjoint paths problem. Algorithmica, 83 (6). pp. 1605-1637. doi:10.1007/s00453-020-00795-3 ISSN 1432-0541.
Gao, Bo, He, Ligang and Jarvis, Stephen A. (2016) Offload decision models and the price of anarchy in mobile cloud application ecosystems. IEEE Access, 3 . pp. 3125-3137. doi:10.1109/ACCESS.2016.2518179 ISSN 2169-3536.
Gao, Mingqi, Zheng, Feng, Yu, James J. Q., Shan, Caifeng, Ding, Guiguang and Han, Jungong (2023) Deep learning for video object segmentation : a review. Artificial Intelligence Review, 56 . pp. 457-531. doi:10.1007/s10462-022-10176-7 ISSN 0269-2821.
Gaskin, James, Berente, Nicholas, Lyytinen, Kalle and Yoo, Youngjin (2014) Toward generalizable sociomaterial inquiry : a computational approach for zooming in and out of sociomaterial routines. MIS Quarterly , 38 (3). pp. 849-871. doi:10.25300/MISQ/2014/38.3.10 ISSN 0276-7783.
Gay, Simon J. and Nagarajan, Rajagopal (2010) Types and typechecking for communicating quantum processes. Mathematical Structures in Computer Science, Vol.16 (No.3). pp. 375-406. doi:10.1017/S0960129506005263 ISSN 0960-1295.
Geng, Jin, Lindqvist, Joserina, Mantovani, Giuseppe, Chen, Gaojian, Sayers, Claire T., Clarkson, Guy J. and Haddleton, David M. (2007) Well-defined poly(N-glycosyl 1,2,3-triazole) multivalent ligands: design, synthesis and lectin binding studies. QSAR & Combinatorial Science, Vol.26 (No.11-12). pp. 1220-1228. doi:10.1002/qsar.200740089 ISSN 1611-020X.
Geng, Shujie, Guo, Wanwan, Rolls, Edmund T., Xu, Kunyu, Jia, Tianye, Zhou, Wei, Blakemore, Colin, Tan, Li-Hai, Cao, Miao and Feng, Jianfeng (2023) Intersecting distributed networks support convergent linguistic functioning across different languages in bilinguals. Communications Biology, 6 (1). 99. doi:10.1038/s42003-023-04446-5 ISSN 2399-3642.
Geoghegan, L., Scarborough, A., Wormald, J. C. R., Harrison, C .J., Collins, D., Gardiner, M., Bruce, J. (Julie) and Rodrigues, J. (2021) Automated conversational agents for post-intervention follow-up : a systematic review. BJS Open, 5 (4). zrab070. doi:10.1093/bjsopen/zrab070 ISSN 2474-9842.
Ghadge, Abhijeet, Mogale, D. G., Bourlakis, Michael, M. Maiyar, Lohithaksha and Moradlou, Hamid (2022) Link between Industry 4.0 and green supply chain management : evidence from the automotive industry. Computers & Industrial Engineering, 169 . 108303. doi:10.1016/j.cie.2022.108303 ISSN 03608352.
Ghaffari, Reza, Grosu, Ioan, Iliescu, Daciana, Hines, Evor and Leeson, Mark S. (2013) Dimensionality reduction for sensory datasets based on master-slave synchronization of Lorenz system. International Journal of Bifurcation and Chaos in Applied Sciences and Engineering, 23 (5). 1330013 . doi:10.1142/S0218127413300139 ISSN 0218-1274.
Gheyas, Iffat, Epiphaniou, Gregory, Maple, Carsten and Lakshminarayana, Subhash (2022) A resilient cyber-physical demand forecasting system for critical infrastructures against stealthy false data injection attacks. Applied Sciences, 12 (19). 10093. doi:10.3390/app121910093 ISSN 2076-3417.
Ghysels, Eric, Mykland, Per and Renault, Eric (2021) In-sample asymptotics and across-sample efficiency gains for high frequency data statistics. Econometric Theory . doi:10.1017/S0266466621000359 ISSN 0266-4666.
Giannakis, Mihalis, Dubey, Rameshwar, Yan, Shishi, Spanaki, Konstantina and Papadopoulos, Thanos (2022) Social media and sensemaking patterns in new product development : demystifying the customer sentiment. Annals of Operations Research , 308 . pp. 145-175. doi:10.1007/s10479-020-03775-6 ISSN 0254-5330.
Giulietti, Monica, Otero, Jesus and Smith, Jeremy (2009) Testing for stationarity in heterogeneous panel data in the presence of cross-section dependence. Journal of Statistical Computation and Simulation, Vol.79 (No.2). pp. 195-203. doi:10.1080/00949650701719136 ISSN 0094-9655.
Gkikaki, Mairi, Rowan, Clare and Dupont, Quinn (2020) DAO, blockchain and cryptography : a conversation with Quinn DuPont. Exchanges: the Warwick Research Journal, 7 (3). pp. 103-117. doi:10.31273/eirj.v7i3.594 ISSN 2053-9665.
Gkotsis, George, Stepanyan, Karen, Cristea, Alexandra I. and Joy, Mike (2014) Entropy-based automated wrapper generation for weblog data extraction. World Wide Web, Volume 17 (Number 4). 827-846 . doi:10.1007/s11280-013-0269-6 ISSN 1386-145X.
Glennon, Emma E., Bruijning, Marjolein, Lessler, Justin, Miller, Ian F., Rice, Benjamin L., Thompson, Robin N., Wells, Konstans and Metcalf, C. Jessica E. (2021) Challenges in modeling the emergence of novel pathogens. Epidemics, 37 . 100516. doi:10.1016/j.epidem.2021.100516 ISSN 1755-4365.
Glorieux, Emile, Franciosa, Pasquale and Ceglarek, Darek (2020) Coverage path planning with targetted viewpoint sampling for robotic free-from surface inspection. Robotics and Computer-Integrated-Manufacturing, 61 . 101843. doi:10.1016/j.rcim.2019.101843 ISSN 0736-5845.
Goldberg, Leslie Ann, Goldberg, Paul W., Phillips, Cynthia A. and Sorkin, Gregory B. (1998) Constructing computer virus phylogenies. Journal of Algorithms, Volume 26 (Number 1). pp. 188-208. ISSN 0196-6774.
Goldberg, Leslie Ann and Jerrum, Mark (2002) The 'Burnside process' converges slowly. Combinatorics, Probability & Computing, Volume 11 (Number 1). pp. 21-34. doi:10.1017/S096354830100493X ISSN 0963-5483.
Goldberg, Leslie Ann and Jerrum, Mark (1999) Randomly sampling molecules. SIAM Journal on Computing, Volume 29 (Number 3). pp. 834-853. ISSN 0097-5397.
Goldberg, Leslie Ann and Jerrum, Mark (2007) The complexity of ferromagnetic ising with local fields. Combinatorics, Probability and Computing, Volume 16 (Number 1). pp. 43-61. doi:10.1017/S096354830600767X ISSN 0963-5483.
Goldberg, Leslie Ann, Jerrum, Mark and Paterson, Michael S. (2003) The computational complexity of two-state spin systems. Random Structures & Algorithms, Volume 23 (Number 2). pp. 133-154. doi:10.1002/rsa.10090 ISSN 1042-9832.
Goldberg, Leslie Ann, Kelk, Steven and Paterson, Mike (2004) The complexity of choosing an H-coloring (nearly) uniformly at random. SIAM Journal on Computing, Volume 33 (Number 2). pp. 416-432. doi:10.1137/S0097539702408363 ISSN 0097-5397.
Goldberg, Leslie Ann, MacKenzie, Phil, Paterson, Michael S. and Srinivasan, Aravind (2000) Contention resolution with constant expected delay. Journal of the ACM, Volume 47 (Number 6). pp. 1048-1096. ISSN 0004-5411.
Goldberg, Paul W. (2001) Learning fixed-dimension linear thresholds from fragmented data. Information and Computation, Volume 171 (Number 1). pp. 98-122. doi:10.1006/inco.2001.3059 ISSN 0890-5401.
Goodall, Amanda (2006) Should top universities be led by top researchers and are they? : a citations analysis. Journal of Documentation, Volume 62 (Number 3). pp. 388-411. doi:10.1108/00220410610666529 ISSN 0022-0418.
Goodarzi, Danial, Abolfathi, Soroush and Borzooeic, Sina (2020) Modelling solute transport in water disinfection systems : effects of temperature gradient on the hydraulic and disinfection efficiency of serpentine chlorine contact tanks. Journal of Water Process Engineering, 37 . 101411. doi:10.1016/j.jwpe.2020.101411 ISSN 2214-7144.
Gopal, Ram D., Hidaji, Hooman, Patterson, Raymond A. and Yaraghi, Niam (2021) Dark clouds and silver linings : impact of COVID-19 on internet users’ privacy. JAMIA Open, 4 (4). ooab100. doi:10.1093/jamiaopen/ooab100 ISSN 2574-2531.
Goud, R., de Keizer, N. F, ter Riet, G., Wyatt, Jeremy C., Hasman, A., Hellemans, I. M and Peek, N. (2009) Effect of guideline based computerised decision support on decision making of multidisciplinary teams: cluster randomised trial in cardiac rehabilitation. BMJ, Vol.338 . Article: b1440. doi:10.1136/bmj.b1440 ISSN 0959-535X.
Greenhalgh, Trisha, Wherton, Joe, Sugarhood, Paul, Hinder, Sue, Procter, Rob and Stones, Rob (2013) What matters to older people with assisted living needs? A phenomenological analysis of the use and non-use of telehealth and telecare. Social Science & Medicine, Volume 93 . pp. 86-94. doi:10.1016/j.socscimed.2013.05.036 ISSN 0277-9536.
Gregor, Ivan, Dröge, Johannes, Schirmer, Melanie, Quince, Christopher and McHardy, Alice C. (2016) PhyloPythiaS+ : a self-training method for the rapid reconstruction of low-ranking taxonomic bins from metagenomes. PeerJ, 4 . e1603. doi:10.7717/peerj.1603 ISSN 2167-8359.
Gregor, Petr, Jäger, Sven, Mutze, Torsten, Sawada, Joe and Wille, Kaja (2022) Gray codes and symmetric chains. Journal of Combinatorial Theory, Series B, 153 . pp. 31-60. doi:10.1016/j.jctb.2021.10.008 ISSN 0095-8956.
Gregor, Petr, Micka, Ondrej and Mutze, Torsten (2023) On the central levels problem. Journal of Combinatorial Theory Series B, 160 . pp. 163-205. doi:10.1016/j.jctb.2022.12.008 ISSN 0095-8956.
Gregory, Robert Wayne, Henfridsson, Ola, Kaganer, Evgeny and Kyriakou, Harris (2022) Data network effects : key conditions, shared data, and the data value duality. Academy of Management Review, 47 (1). pp. 189-192. doi:10.5465/amr.2021.0111 ISSN 0363-7425.
Gregory, Robert Wayne, Henfridsson, Ola, Kaganer, Evgeny and Kyriakou, Harris (2021) The role of artificial intelligence and data network effect for creating user value. Academy of Management Review, 46 (3). pp. 534-551. doi:10.5465/amr.2019.0178 ISSN 0363-7425.
Grewal, S. K. and Harris, L. J. (2008) Beyond critical mass: a case study investigating the use of WebCT for course delivery by faculty in a campus based UK university. Journal of Online Learning and Teaching, Vol.4 (No.3). pp. 380-390. ISSN 1558-9528.
(2024) matscipy : materials science at the atomic scale with Python. Journal of Open Source Software, 9 (93). 5668. doi:10.21105/joss.05668 ISSN 2475-9066.
Gronau, Quentin F., Singmann, Henrik and Wagenmakers, Eric-Jan (2020) bridgesampling : an R Package for estimating normalizing constants. Journal of Statistical Software, 92 (10). doi:10.18637/jss.v092.i10 ISSN 1548-7660.
Groves, Matthew J. and Branke, Jürgen (2019) Top-k selection with pairwise comparisons. European Journal of Operational Research, 274 (2). pp. 615-626. doi:10.1016/j.ejor.2018.10.011 ISSN 0377-2217.
Grun, Bettina, Kosmidis, Ioannis and Zeileis, Achim (2012) Extended beta regression in R : shaken, stirred, mixed, and partitioned. Journal of Statistical Software, 48 (11). pp. 1-25. ISSN 1548-7660.
Gu, Chen, Bradbury, Matthew S. and Jhumka, Arshad (2019) Phantom walkabouts : a customisable source location privacy aware routing protocol for wireless sensor networks. Concurrency and Computation: Practice and Experience, 31 (20). e5304. doi:10.1002/cpe.5304 ISSN 1532-0626.
Gu, Chen, Bradbury, Matthew S., Kirton, Jack and Jhumka, Arshad (2018) A decision theoretic framework for selecting source location privacy aware routing protocols in wireless sensor networks. Future Generation Computer Systems, 87 . pp. 514-526. doi:10.1016/j.future.2018.01.046 ISSN 0167-739X.
Gu, Wei, Chang, Ching-Chun, Bai, Yu, Fan, Yunyuan, Tao, Liang and Li, Li (2023) Anti-screenshot watermarking algorithm for archival image based on deep learning model. Entropy, 25 (2). p. 288. doi:10.3390/e25020288 ISSN 1099-4300.
Guan, Yu, Li, Chang-Tsun and Roli, Fabio (2015) On reducing the effect of covariate factors in gait recognition : a classifier ensemble method. IEEE Transactions on Pattern Analysis and Machine Intelligence, Volume 37 (Number 99). pp. 1521-1528. doi:10.1109/TPAMI.2014.2366766 ISSN 0162-8828.
Guan, Yu, Tistarelli, Massimo, Sun, Yunlian and Li, Chang-Tsun (2014) Human gait identification from extremely low-quality videos : an enhanced classifier ensemble method. IET Biometrics, Volume 3 (Number 2). pp. 84-93. doi:10.1049/iet-bmt.2013.0062 ISSN 2047-4938.
Guan, Yu, Wei, Xingjie and Li, Chang-Tsun (2014) On the generalization power of face and gait in gender recognition. International Journal of Digital Crime and Forensics, Volume 6 (Number 1). doi:10.4018/ijdcf.2014010101 ISSN 1941-6210.
Guha, Tanaya, Yang, Zhaojun, Grossman, Ruth B. and Narayanan, Shrikanth S. (2018) A computational study of expressive facial dynamics in children with autism. IEEE Transactions on Affective Computing, 9 (1). pp. 14-20. doi:10.1109/TAFFC.2016.2578316 ISSN 1939-1374.
Gui, Lin and He, Yulan (2021) Understanding patient reviews with minimum supervision. Artificial Intelligence in Medicine, 120 . 102160. doi:10.1016/j.artmed.2021.102160 ISSN 0933-3657.
Gui, Lin, Jia, Leng, Zhou, Jiyun, Xu, Ruifeng and He, Yulan (2020) Multi-task learning with mutual learning for joint sentiment classification and topic detection. IEEE Transactions on Knowledge and Data Engineering . doi:10.1109/TKDE.2020.2999489 ISSN 1041-4347.
Gull, Sadaf, Shamim, Nauman and Minhas, Fayyaz ul Amir Afsar (2019) AMAP : Hierarchical multi-label prediction of biologically active and antimicrobial peptides. Computers in Biology and Medicine, 107 . pp. 172-181. doi:10.1016/j.compbiomed.2019.02.018 ISSN 0010-4825.
Gulpinar, Nalan, Harder, Uli, Harrison, Peter, Field, Tony, Rustem, Berç and Pau, Louis-Francois (2007) Mean-variance performance optimization of response time in a tandem router network with batch arrivals. Cluster Computing, Vol.10 (No.2). pp. 203-216. doi:10.1007/s10586-007-0016-9 ISSN 1386-7857.
Gulpinar, Nalan and Rustem, Berç (2007) Robust optimal decisions with imprecise forecasts. Computational Statistics & Data Analysis, Vol.51 (No.7). pp. 3595-3611. doi:10.1016/j.csda.2006.11.036 ISSN 0167-9473.
Guo, Weisi, Asyhari, Taufiq, Farsad, Nariman, Yilmaz, Birkan, Li, Bin, Eckford, Andrew W. and Chae, Chan-Byoung (2016) Molecular communications : channel model and physical layer techniques. IEEE Wireless Communications, 23 (4). pp. 120-127. doi:10.1109/MWC.2016.7553035 ISSN 1536-1276.
Guo, Weisi, Li, Bin, Wang, Siyi and Liu, Wei (2015) Molecular communications with longitudinal carrier waves : baseband to passband modulation. IEEE Communications Letters, 19 (9). pp. 1512-1515. doi:10.1109/LCOMM.2015.2448098 ISSN 1089-7798.
Guo, Weisi, Mias, Christos, Farsad, Nariman and Jiang-Lun, Wu (2015) Molecular versus electromagnetic wave propagation loss in macro-scale environments. IEEE Transactions on Molecular, Biological and Multiscale Communications, 1 (1). pp. 18-25. doi:10.1109/TMBMC.2015.2465517 ISSN 2332-7804.
Guo, Weisi, Zhou, Shengtian, Chen, Yunfei, Wang, Siyi, Chu, Xiaoli and Niu, Zhisheng (2015) Simultaneous information and energy flow for IoT Relay Systems with crowd harvesting. IEEE Communications Magazine, 54 (11). pp. 143-149. doi:10.1109/MCOM.2016.1500649CM ISSN 0163-6804.
Gur, Tom and Lachish, Oded (2021) On the power of relaxed local decoding algorithms. SIAM Journal on Computing, 50 (2). pp. 788-813. doi:10.1137/19M1307834 ISSN 0097-5397.
Gur, Tom and Rothblum, Ron D. (2018) Non-interactive proofs of proximity. Computational Complexity, 27 (1). pp. 99-207. doi:10.1007/s00037-016-0136-9 ISSN 1016-3328.
Gurcan, Metin N., Boucheron, Laura E., Can, Ali, Madabhushi, Anant, Rajpoot, Nasir M. and Yener, Bülent (2009) Histopathological image analysis : a review. IEEE Reviews in Biomedical Engineering, Vol.2 . pp. 147-171. doi:10.1109/RBME.2009.2034865 ISSN 1937-3333.
Gökalp, Elvan and Branke, Juergen (2020) Pharmaceutical R & D pipeline management under trial duration uncertainty. Computers & Chemical Engineering, 136 . 106782. doi:10.1016/j.compchemeng.2020.106782 ISSN 0098-1354.
Gürel, Sinan, Korpeoglu, Ersin and Akturk, M. Selim (2010) An anticipative scheduling approach with controllable processing times. Computers & Operations Research, Vol.37 (No.6). pp. 1002-1013. doi:10.1016/j.cor.2009.09.001 ISSN 03050548.
Habershon, Scott (2022) Program synthesis of sparse algorithms for wave function and energy prediction in grid-based quantum simulations. Journal of Chemical Theory and Computation, 18 (4). pp. 2462-2478. doi:10.1021/acs.jctc.2c00035 ISSN 1549-9618.
Hahn, Ulrike and Chater, Nick (1998) Understanding similarity : A joint project for psychology, case-based reasoning, and law. Artificial Intelligence Review, 12 (5). pp. 393-427. doi:10.1023/A:1006512431942 ISSN 0269-2821.
Hajarnavis, Vivek and Young, K. (Ken) (2008) An investigation into programmable logic controller software design techniques in the automotive industry. Assembly Automation, Volume 28 (Number 1). pp. 43-54. doi:10.1108/01445150810849000 ISSN 0144-5154.
Haldar, Aparajita, Wang, Shuang, Demirci, Gunduz Vehbi, Oakley, Joe and Ferhatosmanoglu, Hakan (2023) Temporal cascade model for analyzing spread in evolving networks. ACM Transactions on Spatial Algorithms and Systems, 9 (2). 12. doi:10.1145/3579996 ISSN 2374-0361.
Hammond, Michael (2000) Communication within on-line forums : the opportunities, the constraints and the value of a communicative approach. Computers & Education, Volume 35 (Number 4). pp. 251-262. doi:10.1016/S0360-1315(00)00037-3 ISSN 0360-1315.
Hammond, Simon D., Mudalige, Gihan R., Smith, J. A., Davis, J. A., Mills, A. B., Jarvis, Stephen A., Holt, J., Miller, I., Herdman, J. A. and Vadgama, A. (2009) Performance prediction and procurement in practice : assessing the suitability of commodity cluster components for wavefront codes. IET Software, Volume 3 (Number 6). pp. 509-521. doi:10.1049/iet-sen.2009.0007 ISSN 1751-8806.
Han, Chen, Liu, Aijun, Gao, Zhixiang, An, Kang, Zheng, Gan and Chatzinotas, Symeon (2023) Anti-jamming transmission in NOMA-based Satellite-enabled IoT : a game-theoretic framework in hostile environments. IEEE Internet of Things Journal, 10 (23). pp. 20311-20322. doi:10.1109/jiot.2023.3283292 ISSN 2327-4662.
Hanoch, Y., Arvizzigno, F., Hernandez García, D., Denham, S., Belpaeme, T. and Gummerum, M. (2021) The robot made me do it : human-robot interaction and risk-taking behavior. Cyberpsychology, Behavior, and Social Networking, 24 (5). pp. 337-342. doi:10.1089/cyber.2020.0148 ISSN 2152-2715.
Hao, Feng (2021) Prudent practices in security standardization. IEEE Communications Standards Magazine, 5 (3). pp. 40-47. doi:10.1109/MCOMSTD.121.2100005 ISSN 2471-2825.
Hao, Feng, Clarke, Dylan and Zorzo, Avelino Francisco (2016) Deleting secret data with public verifiability. IEEE Transactions on Dependable and Secure Computing, 13 (6). pp. 617-629. doi:10.1109/TDSC.2015.2423684 ISSN 1545-5971.
Hao, Feng, Metere, Roberto, Shahandashti, Siamak F. and Dong, Changyu (2018) Analyzing and patching SPEKE in ISO/IEC. IEEE Transactions on Information Forensics and Security, 13 (11). pp. 2844-2855. doi:10.1109/TIFS.2018.2832984 ISSN 1556-6013.
Happa, Jassim, Mudge, Mark, Debattista, Kurt, Artusi, Alessandro, Gonçalves, Alexandrino and Chalmers, Alan (2010) Illuminating the past : state of the art. Virtual Reality, Vol.14 (No.3). pp. 155-182. doi:10.1007/s10055-010-0154-x ISSN 1359-4338.
Harfiya, Latifa Nabila, Chang, Ching-Chun and Li, Yung-Hui (2021) Continuous blood pressure estimation using exclusively photopletysmography by LSTM-based signal-to-signal translation. Sensors, 21 (9). e2952. doi:10.3390/s21092952 ISSN 1424-8220.
Harrenstein, Paul, Turrini, Paolo and Wooldridge, Michael (2016) Hard and soft preparation sets in Boolean games. Studia Logica, 104 (4). pp. 813-847. doi:10.1007/s11225-015-9629-9 ISSN 1572-8730.
Hasic, Jasminka, Chalmers, Alan and Sikudova, Elena (2010) Perceptually guided high-fidelity rendering exploiting movement bias in visual attention. ACM Transactions on Applied Perception, Vol.8 (No.1). pp. 1-19. doi:10.1145/1857893.1857899 ISSN 1544-3558.
Hatchett, Jonathan, Debattista, Kurt, Mukherjee, Ratnajit, Bashford-Rogers, Thomas and Chalmers, Alan (2018) An evaluation of power transfer functions for HDR video compression. The Visual Computer, 34 . pp. 167-176. doi:10.1007/s00371-016-1322-0 ISSN 0178-2789.
He, Ligang, Chaudhary, Nadeem and Jarvis, Stephen A. (2014) Developing security-aware resource management strategies for workflows. Future Generation Computer Systems, 38 (9). pp. 61-68. doi:10.1016/j.future.2013.09.030 ISSN 0167-739X.
He, Ligang, Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2004) Dynamic, capability-driven scheduling of DAG-based real-time jobs in heterogeneous cluster. International Journal of High Performance Computing and Networking (IJHPCN), Volume 2 (Number 2-4). pp. 165-177. doi:10.1504/IJHPCN.2004.008901 ISSN 1740-0562.
He, Ligang, Zhu, Huanzhou and Jarvis, Stephen A. (2016) Developing graph-based co-scheduling algorithms on multicore computers. IEEE Transactions on Parallel and Distributed Systems, 27 (6). pp. 1617-1632. doi:10.1109/TPDS.2015.2468223 ISSN 1045-9219.
He, Ligang, Zou, Deqing, Zhang, Zhang, Chen, Chao, Jin, Hai and Jarvis, Stephen A. (2014) Developing resource consolidation frameworks for moldable virtual machines in clouds. Future Generation Computer Systems, Volume 32 . pp. 69-81. doi:10.1016/j.future.2012.05.015 ISSN 0167-739X.
He, Tianyou, Breithaupt, Fritz, Kübler, Sandra and Hills, Thomas T. (2023) Quantifying the retention of emotions across story retellings. Scientific Reports, 13 (1). 2448. doi:10.1038/s41598-023-29178-8 ISSN 2045-2322.
Heinrich, Lukas, Mishra-Sharma, Siddharth, Pollard, Chris and Windischhofer, Philipp (2024) Hierarchical neural simulation-based inference over event ensembles. Transactions on Machine Learning Research . ISSN 2835-8856.
Hendrix, M., Cristea, Alexandra I. and Nejdl, W. (2007) Authoring adaptive educational hypermedia on the semantic desktop. International Journal of Learning Technology (IJLT), Volume 3 (Number 3). pp. 230-251. doi:10.1504/IJLT.2007.015443 ISSN 1477-8386.
Hendrix, Maurice and Cristea, Alexandra I. (2008) A spiral model for adding automatic, adaptive authoring to adaptive hypermedia. Journal of Universal Computer Science, Volume 14 (Number 17). pp. 2799-2818. doi:10.3217/jucs-014-17-2799 ISSN 0948-695X.
Henkin, Rafael and Turkay, Cagatay (2022) Words of estimative correlation : studying verbalizations of scatterplots. IEEE Transactions on Visualization and Computer Graphics, 28 (4). pp. 1967-1981. doi:10.1109/TVCG.2020.3023537 ISSN 1077-2626.
Herdman, J. A., Gaudin, W. P., Turland, D. and Hammond, Simon D. (2011) Benchmarking and modelling of POWER-7, Westmere, BG/P, and GPUs : an industry case study. ACM SIGMETRICS Performance Evaluation Review, Volume 38 (Number 4). pp. 16-22. doi:10.1145/1964218.1964222 ISSN 0163-5999.
Herfort, Benjamin, Lautenbach, Sven, Porto de Albuquerque, João , Anderso, Jennings and Zipf, Alexander (2021) The evolution of humanitarian mapping within the OpenStreetMap community. Scientific Reports, 11 (1). 3037. doi:10.1038/s41598-021-82404-z ISSN 2045-2322.
Hill, Edward M., Prosser, Naomi S., Brown, Paul E., Ferguson, Eamonn, Green, Martin J., Kaler, Jasmeet, Keeling, Matt J. and Tildesley, Michael J. (2023) Incorporating heterogeneity in farmer disease control behaviour into a livestock disease transmission model. Preventive Veterinary Medicine, 219 . 106019. doi:10.1016/j.prevetmed.2023.106019 ISSN 0167-5877.
Hoang, Chinh T., Kaminski, Marcin, Lozin, Vadim V., Sawada, Joe and Shu, Xiao (2010) Deciding k-colorability of P5-free graphs in polynomial time. Algorithmica, Vol.57 (No.1). pp. 74-81. doi:10.1007/s00453-008-9197-8 ISSN 0178-4617.
Hoang, Hung P. and Mutze, Torsten (2021) Combinatorial generation via permutation languages. II. Lattice congruences. Israel Journal of Mathematics, 244 . pp. 359-417. doi:10.1007/s11856-021-2186-1 ISSN 0021-2172.
Hoang, Tiep M., Dinh-Van, Son, Barn, Balbir, Trestian, Ramona and Nguyen, Huan X. (2022) RIS-aided smart manufacturing : information transmission and machine health monitoring. IEEE Internet of Things Journal, 9 (22). pp. 22930-22943. doi:10.1109/JIOT.2022.3187189 ISSN 2327-4662.
Holmes, Antony B., Kalvala, Sara and Whitworth, David E. (2009) Myxobacteria motility : a novel 3D model of rippling behaviour in myxococcus xanthus. Systemics and Informatics World Network, Vol.6 . pp. 65-70. doi:tai: cosiwn.2009.04.020 ISSN 2044-7272.
Horita, Flávio E.A., Albuquerque, João Porto de, Marchezini, Victor and Mendiondo, Eduardo M. (2017) Bridging the gap between decision-making and emerging big data sources : an application of a model-based framework to disaster management in Brazil. Decision Support Systems, 97 . pp. 12-22. doi:10.1016/j.dss.2017.03.001 ISSN 0167-9236.
Hou, Lei, Wang, Xiaoyu, Bian, Xiaobing, Liu, Honglei and Gong, Peibin (2022) Evaluating essential features of proppant transport at engineering scales combining field measurements with machine learning algorithms. Journal of Natural Gas Science and Engineering, 107 . 104768. doi:10.1016/j.jngse.2022.104768 ISSN 1875-5100.
Houssineau, Jeremie, Zeng, Jiajie and Jasra, Ajay (2021) Uncertainty modelling and computational aspects of data association. Statistics and Computing, 31 . 59. doi:10.1007/s11222-021-10039-1 ISSN 0960-3174.
Hsu, Anne S., Chater, Nick and Vitányi, P. M. B. (2011) The probabilistic analysis of language acquisition : theoretical, computational, and experimental analysis. Cognition, Vol.120 (No.3). pp. 380-390. doi:10.1016/j.cognition.2011.02.013 ISSN 0010-0277.
Hsu, Tao-I, Kuo, Jiann Ling and Wilson, Roland (2000) A multiresolution texture gradient method for unsupervised segmentation. Pattern Recognition, Volume 33 (Number 11). pp. 1819-1833. ISSN 0031-3203.
Hsu, Tao-I and Wilson, Roland (1998) A two-component model of texture for analysis and synthesis. IEEE Transactions on Image Processing, Volume 7 (Number 10). pp. 1466-1476. doi:10.1109/83.718486 ISSN 1057-7149.
Hu, Wenxiu, Wei, Zhuangkun, Popov, Sergei, Leeson, Mark S. and Xu, Tianhua (2023) Tapping eavesdropper designs against physical layer secret key in point-to-point fiber communications. Journal of Lightwave Technology, 41 (5). pp. 1406-1414. doi:10.1109/JLT.2022.3223025 ISSN 0733-8724.
Hu, Xiao-Bing, Leeson, Mark S. and Hines, Evor (2012) An effective genetic algorithm for network coding. Computers & Operations Research, Vol.39 (No.5). pp. 952-963. doi:10.1016/j.cor.2011.07.014 ISSN 0305-0548.
Hu, Xiao-Bing, Zhang, Hai-Lin, Zhang, Chi, Zhang, Ming-Kong, Li, Hang and Leeson, Mark S. (2019) A benchmark test problem toolkit for multi-objective path optimization. Swarm and Evolutionary Computation, 44 . pp. 18-30. doi:10.1016/j.swevo.2018.11.009 ISSN 2210-6502.
Hu, Yongjian, Lee, Heung-Kyu, Chen, Kaiying and Li, Jianwei (2008) Difference expansion based reversible data hiding using two embedding directions. IEEE Transactions on Multimedia, Vol.10 (No.8). pp. 1500-1512. doi:10.1109/TMM.2008.2007341 ISSN 1520-9210.
Hu, Yongjian, Lee, Heung-Kyu and Li, Jianwei (2009) DE-based reversible data hiding with improved overflow location map. IEEE Transactions on Circuits and Systems for Video Technology, Vol.19 (No.2). pp. 250-260. doi:10.1109/TCSVT.2008.2009252 ISSN 1051-8215.
Hua, Dingli, Ren, Yuanhong, Wang, Xing, Li, Qiang and Yu, Weiren (2023) Event-triggered H∞ asynchronous control for 2-D switched system in FMLSS model. Circuits, Systems, and Signal Processing, 42 (10). pp. 5868-5892. doi:10.1007/s00034-023-02391-6 ISSN 0278-081X.
Huang, Chi-En, Li, Yung-Hui, Aslam, Muhammad Saqlain and Chang, Ching-Chun (2021) Super-resolution generative adversarial network based on the dual dimension attention mechanism for biometric image super-resolution. Sensors, 21 (23). e7817. doi:10.3390/s21237817 ISSN 1424-8220.
Huang, Hua, Su, Daizhong, Peng, Wenjie and Wu, You (2020) Development of a mobile application system for eco-accounting. Sustainability, 12 (22). 9675. doi:10.3390/su12229675 ISSN 2071-1050.
Huang, Peng-Cheng, Chang, Ching-Chun and Li, Yung-Hui (2022) Efficient (k, n) : threshold secret sharing method with cheater prevention for QR code application. Journal of Internet Technology, 23 (1). pp. 157-165. doi:10.53106/160792642022012301016 ISSN 1607-9264.
Huang, Wei, Zhao, Xingyu, Banks, Alec, Cox, Victoria and Huang, Xiaowei (2023) Hierarchical distribution-aware testing of deep learning. ACM Transactions on Software Engineering and Methodology, 33 (2). pp. 1-35. doi:10.1145/3625290 ISSN 1049-331X.
Huang, Xinyu, Fang, Yuting, Noel, Adam and Yang, Nan (2022) Membrane fusion-based transmitter design for static and diffusive mobile molecular communication systems. IEEE Transactions on Communications, 70 (1). pp. 132-148. doi:10.1109/TCOMM.2021.3121439 ISSN 0090-6778.
Huang, Yingping, McMurran, Ross, Dhadyalla, Gunwant and Jones, P. (Peter), Dr. (2008) Probability based vehicle fault diagnosis : Bayesian network method. Journal of Intelligent Manufacturing, Vol.19 (No.3). pp. 301-311. doi:10.1007/s10845-008-0083-7 ISSN 0956-5515.
Huang, Yingping and Young, Ken (2008) Binocular image sequence analysis : integration of stereo disparity and optic flow for improved obstacle detection and tracking. Eurasip Journal on Advances in Signal Processing, Vol.2008 (No.843232). doi:10.1155/2008/843232 ISSN 1687-6172.
Huang, Ziyue, Qiu, Yuan, Yi, Ke and Cormode, Graham (2022) Frequency estimation under multiparty differential privacy : one-shot and streaming. Proceedings of the VLDB Endowment, 15 (10). pp. 2058-2070. doi:10.14778/3547305.3547312 ISSN 2150-8097.
Hulusić, Vedad, Debattista, Kurt, Aggarwal, Vibhor and Chalmers, Alan (2011) Maintaining frame rate perception in interactive environments by exploiting audio-visual cross-modal interaction. The Visual Computer, Volume 27 (Number 1). pp. 57-66. doi:10.1007/s00371-010-0514-2 ISSN 0178-2789.
Hulusić, Vedad, Debattista, Kurt and Chalmers, Alan (2013) Smoothness perception : investigation of beat rate effect on frame rate perception. The Visual Computer, Volume 29 (Number 11). pp. 1159-1172. doi:10.1007/s00371-012-0760-6 ISSN 0178-2789.
Hunt, Marilyn J., Neill, S. R. St. J. and Barnes, Ann (2007) The use of ICT in the assessment of modern languages: the English context and European viewpoints. Educational Review, Vol.59 (No.2). pp. 195-213. doi:10.1080/00131910701255012 ISSN 0013-1911.
LHCb Collaboration (Including: Hunter, R. J., Lupton, Oliver, Ramos Pernas, M. and Vesterinen, M.). (2021) A comparison of CPU and GPU implementations for the LHCb experiment run 3 trigger. Computing and Software for Big Science, 6 (1). 1. doi:10.1007/s41781-021-00070-2 ISSN 2510-2044.
Iadanza, Ernesto, Goretti, Francesco, Sorelli, Michele, Melillo, Paolo, Pecchia, Leandro, Simonelli, Francesca and Gherardelli, Monica (2020) Automatic detection of genetic diseases in pediatric age using pupillometry. IEEE Access, 8 (1). pp. 34949-34961. doi:10.1109/ACCESS.2020.2973747 ISSN 2169-3536.
Ibrahim Teo, Noor H. and Joy, Mike (2017) Validation of course ontology elements for automatic question generation. EAI Endorsed Transactions on e-Learning, 4 (15). 153392. doi:10.4108/eai.29-11-2017.153392 ISSN 2032-9253.
Ibrahim Teo, Noor Hasimah and Joy, Mike (2018) Categorized question template generation for ontology-based assessment questions. International Journal of Knowledge Engineering, 4 (2). pp. 72-75. doi:10.18178/ijke.2018.4.2.103 ISSN 0976-5816.
Ignatiadis, Ioannis and Nandhakumar, Joe (2007) The impact of enterprise systems on organizational resilience. Journal of Information Technology, Vol.22 (No.1). pp. 36-43. doi:10.1057/palgrave.jit.2000087 ISSN 0268-3962.
Ioannou, Lenos, Al-Dujaili, Abdullah and Fahmy, Suhaib A. (2020) High throughput spatial convolution filters on FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 28 (6). pp. 1392-1402. doi:10.1109/TVLSI.2020.2987202 ISSN 1063-8210.
Ironya, Dror, Sivan, Toledo and Tiskin, Alexander (2004) Communication lower bounds for distributed-memory matrix multiplication. Journal of Parallel and Distributed Computing, Volume 64 (Number 9). pp. 1017-1026. doi:10.1016/j.jpdc.2004.03.021 ISSN 0743-7315.
(2022) Quality assurance for automatically generated contours with additional deep learning. Insights into Imaging, 13 (1). 137. doi:10.1186/s13244-022-01276-7 ISSN 1869-4101.
Ismail, A., Joy, Mike, Sinclair, Jane and Hamzah, M. I. (2009) A metametadata architecture for pedagogic data description. World Academy of Science, Engineering and Technology, Volume 3 (Number 1). pp. 313-318. Article number 63. ISSN 2010-376X.
Iwama, Kazuo and Paterson, Mike (2022) Bounded Hanoi. The American Mathematical Monthly, 129 (4). pp. 303-319. doi:10.1080/00029890.2022.2026166 ISSN 1930-0972.
Jackson, Andrew, Kapourniotis, Theodoros and Datta, Animesh (2024) Accreditation of analogue quantum simulators. Proceedings of the National Academy of Sciences of the United States of America, 121 (6). e2309627121. doi:10.1073/pnas.2309627121 ISSN 0027-8424.
Jackson, Andrew, Kapourniotis, Theodoros and Datta, Animesh (2023) Partition-function estimation : quantum and quantum-inspired algorithms. Physical Review A, 107 (1). 012421. doi:10.1103/physreva.107.012421 ISSN 2469-9934.
Jahangir, Hamidreza, Lakshminarayana, Subhash, Maple, Carsten and Epiphaniou, Gregory (2023) A deep learning-based solution for securing the power grid against load altering threats by IoT-enabled devices. IEEE Internet of Things Journal, 10 (12). pp. 10687-10697. doi:10.1109/JIOT.2023.3240289 ISSN 2327-4662.
Jammy, Satya P., Mudalige, Gihan R., Reguly, Istvan Z., Sandham, Neil D. and Giles, Mike (2016) Block-structured compressible Navier–Stokes solution using the OPS high-level abstraction. International Journal of Computational Fluid Dynamics, 30 (6). pp. 450-454. doi:10.1080/10618562.2016.1243663 ISSN 1061-8562 .
Jantjies, Mmaki and Joy, Mike (2015) Mobile enhanced learning in a South African context. Educational Technology & Society, Volume 18 (Number 1). pp. 308-320. ISSN 1176-3647.
Jarvis, Stephen A. (2012) Editorial performance modelling, benchmarking and simulation of high-performance computing systems. Computer Journal, Vol.55 (No.2). pp. 136-137. doi:10.1093/comjnl/bxr113 ISSN 0010-4620.
Jarvis, Stephen A. (2011) UK performance engineering workshop 2010. The Computer Journal, Vol.54 (No.6). pp. 960-961. doi:10.1093/comjnl/bxr015 ISSN 0010-4620.
Jarvis, Stephen A., He, Ligang, Spooner, Daniel P. and Nudd, G. R. (2005) The impact of predictive inaccuracies on execution scheduling. Performance Evaluation, Volume 60 (Number 1-4). pp. 127-139. doi:10.1016/j.peva.2004.10.015 ISSN 0166-5316.
Jarvis, Stephen A., Hill, J. M. D., Siniolakis, C. and Vasilev, V. P. (2002) Portable and architecture independent parallel performance tuning using BSP. Parallel Computing, Volume 28 (Number 11). pp. 1587-1609. doi:10.1016/S0167-8191(02)00160-6 ISSN 0167-8191.
Jarvis, Stephen A., Tan, G., Spooner, Daniel P. and Nudd, G. R. (2006) Constructing reliable and efficient overlays for P2P live media streaming. International Journal of Simulation Systems, Science & Technology, Volume 7 (Number 2). pp. 54-62. doi:10.1.1.123.8343 ISSN 1473-8031.
Jarvis, Stephen A., Thomas, N. and van Moorsel, A. (2014) Open issues in grid performability. International Journal of Simulation and Process Modelling (IJSPM), Volume 5 (Number 5). pp. 3-12. doi:10.1.1.124.6905 ISSN 1740-2123.
Jbair, Mohammad, Ahmad, Bilal, Maple, Carsten and Harrison, Robert (2022) Threat modelling for industrial cyber physical systems in the era of smart manufacturing. Computers in Industry, 137 . 103611. doi:10.1016/j.compind.2022.103611 ISSN 2049-4297.
Jeub, Lucas G. S., Colavizza, Giovanni, Dong, Xiaowen, Bazzi, Marya and Cucuringu, Mihai (2023) Local2Global : a distributed approach for scaling representation learning on graphs. Machine Learning, 112 . pp. 1663-1692. doi:10.1007/s10994-022-06285-7 ISSN 2632-2153.
Jhumka, Arshad, Bradbury, Matthew S. and Leeke, Matthew (2015) Fake source-based source location privacy in wireless sensor networks. Concurrency and Computation: Practice and Experience, 27 (12). pp. 2999-3020. doi:10.1002/cpe.3242 ISSN 1532-0626.
Jhumka, Arshad, Bradbury, Matthew S. and Saginbekov, Sain (2014) Efficient fault-tolerant collision-free data aggregation scheduling for wireless sensor networks. Journal of Parallel and Distributed Computing, Volume 74 (Number 1). pp. 1789-1801. doi:10.1016/j.jpdc.2013.09.011 ISSN 0743-7315.
Jhumka, Arshad, Freiling, F. C., Fetzer, C. and Suri, N. (2006) An approach to synthesize safe systems. International Journal of Security and Networks, Volume 1 (Number 2). pp. 62-74. ISSN 1747-8405.
Jhumka, Arshad, Leeke, Matthew and Shrestha, Sambid (2011) On the use of fake sources for source location privacy : trade-offs between energy and privacy. The Computer Journal, Volume 54 (Number 6). pp. 860-874. doi:10.1093/comjnl/bxr010 ISSN 0010-4620.
Jhumka, Arshad and Mottola, Luca (2016) Neighborhood view consistency in wireless sensor networks. ACM Transactions on Sensor Network , 12 (3). 19. doi:10.1145/2901296 ISSN 1550-4859.
Ji, Zhong, Wang, Haoran, Han, Jungong and Pang, Yanwei (2022) SMAN : Stacked Multi-Modal Attention Network for cross-modal image-text retrieval. IEEE Transactions on Cybernetics, 52 (2). pp. 1086-1097. doi:10.1109/TCYB.2020.2985716 ISSN 2168-2267.
Ji, Zhong, Zhao, Yuxiao, Pang, Yanwei, Li, Xi and Han, Jungong (2021) Deep attentive video summarization with distribution consistency learning. IEEE Transactions on Neural Networks and Learning Systems, 32 (4). pp. 1765-1775. doi:10.1109/TNNLS.2020.2991083 ISSN 2162-237X.
Ji, Zhongming, Chen, Li, Zhao, Nan, Chen, Yunfei, Wei, Guo and Yu, Richard F. (2021) Computation offloading for edge-assisted federated learning. IEEE Transactions on Vehicular Technology, 70 (9). pp. 9330-9344. doi:10.1109/TVT.2021.3098022 ISSN 0018-9545.
Jiang, Hui, Wang, Fan, Dyer, Nigel and Wong, Wing Hung (2010) CisGenome browser: a flexible tool for genomic data visualization. Bioinformatics, Vol.26 (No.14). pp. 1781-1782. doi:10.1093/bioinformatics/btq286 ISSN 1367-4803.
Jiménez Roldán, J. E. (José Emilio), Wells, Stephen A., Freedman, R. B. and Römer, Rudolf A. (2011) Integration of FIRST, FRODA and NMM in a coarse grained method to study Protein Disulphide Isomerase conformational change. Journal of Physics: Conference Series, Vol.286 (No.1). Article No. 012002. doi:10.1088/1742-6596/286/1/012002 ISSN 1742-6596.
Jin, Yanliang, Luo, Can, Guo, Weisi, Xie, Jinfei, Wu, Dijia and Wang, Rui (2019) Text classification based on conditional reflection. IEEE Access . doi:10.1109/ACCESS.2019.2921976 ISSN 2169-3536.
Johansen, Adam M. (2009) SMCTC : sequential Monte Carlo in C++. Journal of Statistical Software, Vol.30 (No.6). pp. 1-41. ISSN 1548-7660.
Jones, A., Angelopoulou, O. and Noriega, L. (2019) Survey of data remaining on second hand memory cards in the UK. Computers & Security, 84 . pp. 239-243. doi:10.1016/j.cose.2019.03.006 ISSN 0167-4048.
Jones, Ian Harrison (2008) Storyboarding : a method for bootstrapping the design of computer-based educational tasks. Computers & Education, Volume 51 (Number 3). pp. 1353-1364. doi:10.1016/j.compedu.2008.01.002 ISSN 0360-1315.
Joy, Mike (2005) Group projects and the computer science curriculum. Innovations in Education and Teaching International, Volume 42 (Number 1). pp. 15-25. doi:10.1080/14703290500048788 ISSN 1470-3297.
Joy, Mike (2009) Undergraduate Computing Projects — an Investigation into the Student Experience. ITALICS, Vol.8 (No.1). pp. 60-78. ISSN 1473-7507.
Joy, Mike, Cosma, Georgina, Yau, Jane Yin-Kim and Sinclair, Jane (2011) Source code plagiarism - a student perspective. IEEE Transactions on Education, Volume 54 (Number 1). pp. 125-132. doi:10.1109/TE.2010.2046664 ISSN 0018-9359.
Joy, Mike and Griffiths, Nathan (2004) The BOSS online submission system. Forum, Volume 26 . p. 15.
Joy, Mike, Griffiths, Nathan and Boyatt, Russell (2005) The BOSS online submission and assessment system. Journal on Educational Resources in Computing, Volume 5 (Number 3). Article number 2. doi:10.1145/1163405.1163407 ISSN 1531-4278.
Joy, Mike and Luck, Michael (Michael M.) (1998) The BOSS system for on-line submission and assessment. Monitor : Journal of the CTI Centre for Computing, Volume 10 . pp. 27-29.
Joy, Mike and Luck, Michael (Michael M.) (1998) Computer-based submission and assessment in BOSS. Interactions, Volume 6 (Number 2).
Joy, Mike and Luck, Michael (Michael M.) (1998) Effective electronic marking for on-line assessment. ACM SIGCSE Bulletin, Volume 30 (Number 3). pp. 134-138. doi:10.1145/290320.283096 ISSN 0097-8418.
Joy, Mike and Luck, Michael (Michael M.) (1996) Software standards in Undergraduate computing courses. Journal Of Computer Assisted Learning, Volume 12 (Number 2). pp. 103-113. doi:10.1111/j.1365-2729.1996.tb00042.x ISSN 0026-4909.
Joy, Mike and Matthews, Stephen G. (1994) Some experiences in teaching functional programming. International Journal of Mathematical Education in Science and Technology, Volume 25 (Number 2). pp. 165-172. doi:10.1080/0020739940250202 ISSN 0020-739X.
Joy, Mike, Muzykantskii, B., Rawles, S. and Evans, M. (2002) An infrastructure for web-based computer-assisted learning. Journal on Educational Resources in Computing (JERIC), Volume 2 (Number 4). pp. 1-19. Article number 4 . doi:10.1145/949257.949261
Joy, Mike and Rayward-Smith, V. J. (1995) NP-completeness of a combinator optimisation problem. Notre Dame Journal of Formal Logic, Volume 36 (Number 2). pp. 319-335. doi:10.1305/ndjfl/1040248462 ISSN 0029-4527.
Joy, Mike, Rayward-Smith, V. J. and Burton, F. W. (1985) Efficient combinator code. Computer Languages, Volume 10 (Number 3/4). pp. 211-224. doi:10.1016/0096-0551(85)90017-7 ISSN 0096-0551.
Joy, Mike, Sinclair, Jane, Sun, Shanghua, Sitthiworachart, Jirarat and López-González, Javier (2009) Categorising computer science education research. Education and Information Technologies, Vol.14 (No.2). pp. 105-126. doi:10.1007/s10639-008-9078-4 ISSN 1360-2357.
Jurdzinski, Marcin, Laroussinie, Francois and Sproston, Jeremy (2008) Model checking probabilistic timed automata with one or two clocks. Logical Methods in Computer Science, Vol.4 (No.3). Article no.12 . doi:10.2168/LMCS-4(3:12)2008 ISSN 1860-5974.
Jurdzinski, Marcin and Lazic, Ranko (2011) Alternating automata on data trees and XPath satisfiability. ACM Transactions on Computational Logic (TOCL), Volume 12 (Number 3). pp. 1-21. doi:10.1145/1929954.1929956 ISSN 1529-3785.
Jurdzinski, Marcin, Paterson, Michael S. and Zwick, Uri (2008) A deterministic subexponential algorithm for solving parity games. SIAM Journal on Computing, Vol.38 (No.4). pp. 1519-1532. doi:10.1137/070686652 ISSN 0097-5397.
Jurić, Ivan, Hibberd, Julian, Blatt, Mike R. and Burroughs, Nigel John (2019) Computational modelling predicts substantial carbon assimilation gains for C3 plants with a single-celled C4 biochemical pump. PLoS Computational Biology, 15 (9). e1007373. doi:10.1371/journal.pcbi.1007373 ISSN 1553-7358.
Kahveci, Sinan, Alkan, Bugra, Ahmad, Mus’ab H., Ahmad, Bilal and Harrison, Robert (2022) An end-to-end big data analytics platform for IoT-enabled smart factories : a case study of battery module assembly system for electric vehicles. Journal of Manufacturing Systems, 63 . pp. 214-223. doi:10.1016/j.jmsy.2022.03.010 ISSN 0278-6125.
Kalair, Kieran and Connaughton, Colm (2021) Anomaly detection and classification in traffic flow data from fluctuations in the flow–density relationship. Transportation Research Part C: Emerging Technologies, 127 . 103178. doi:10.1016/j.trc.2021.103178 ISSN 0968-090X.
Kalvala, Sara, Warburton, Richard and Lacey, David (2009) Program transformations using temporal logic side conditions. ACM Transactions on Programming Languages and Systems, Volume 31 (Number 4). Article number 14. doi:10.1145/1516507.1516509 ISSN 0164-0925.
Kamal, Hira, Minhas, Fayyaz ul Amir Afsar, Farooq, Muhammad, Tripathi, Diwaker, Hamza, Muhammad, Mustafa, Roma, Khan, Muhammad Zuhaib, Mansoor, Shahid, Pappu, Hanu R. and Amin, Imran (2019) In silico prediction and validations of domains involved in gossypium hirsutum SnRK1 protein interaction with cotton leaf curl multan betasatellite encoded βC1. Frontiers in Plant Science, 10 . 656. doi:10.3389/fpls.2019.00656 ISSN 1664-462X.
Kamarudin, Muhammad Hilmi, Maple, Carsten and Watson, Tim (2019) Hybrid feature selection technique for intrusion detection system. International Journal of High Performance Computing and Networking (IJHPCN), 13 (2). pp. 232-240. doi:10.1504/IJHPCN.2019.097503 ISSN 1740-0562.
Kamarudin, Muhammad Hilmi, Maple, Carsten, Watson, Tim and Sohrabi Safa, Nader (2017) A LogitBoost-based algorithm for detecting known and unknown web attacks. IEEE Access, 5 . 26190 -26200. doi:10.1109/ACCESS.2017.2766844 ISSN 2169-3536.
Kamarudin, Muhammad Hilmi, Maple, Carsten, Watson, Tim and Sohrabi Safa, Nader (2017) A new unified intrusion anomaly detection in identifying unseen web attacks. Security and Communication Networks, 2017 . pp. 1-18. 2539034. doi:10.1155/2017/2539034 ISSN 1939-0114.
Kamran, Rakhshan, Rodrigues, Jeremy N., Dobbs, Thomas D., Wormald, Justin C. R., Trickett, Ryan W. and Harrison, Conrad J. (2022) Computerized adaptive testing of symptom severity : a registry-based study of 924 patients with trapeziometacarpal arthritis. Journal of Hand Surgery (European Volume), 47 (9). pp. 893-898. doi:10.1177/17531934221087572 ISSN 1753-1934.
Kaniappan Chinnathai, Malarvizhi, Günther, Till, Ahmad, Mussawar, Stocker, Cosima, Richter, Lukas, Schreiner, David, Vera, Daniel A., Reinhart, Gunther and Harrison, Robert (2017) An application of physical flexibility and software reconfigurability for the automation of battery module assembly. Procedia CIRP, 63 . pp. 604-609. doi:10.1016/j.procir.2017.03.128 ISSN 2212-8271.
Kantartzis, Apostolos, Malesios, Chrisovalantis, Stergiadou, Anastasia, Theofanous, Nikolas, Tampekis, Stergios and Arabatzis, Garyfallos (2021) A geographical information approach for forest maintenance operations with emphasis on the drainage infrastructure and culverts. Water, 13 (10). p. 1408. doi:10.3390/w13101408 ISSN 2073-4441.
Kapalidis, Chronis, Karamperidis, Stavros, Watson, Tim and Koligiannis, Georgios (2022) A vulnerability centric system of systems analysis on the maritime transportation sector most valuable assets : recommendations for port facilities and ships. Journal of Marine Science and Engineering, 10 (10). 1486. doi:10.3390/jmse10101486 ISSN 2077-1312.
Kapourniotis, Theodoros and Datta, Animesh (2019) Nonadaptive fault-tolerant verification of quantum supremacy with noise. Quantum, 3 . p. 164. doi:10.22331/q-2019-07-12-164 ISSN 2521-327X.
Kapur, Omesh, Guo, Dongkai, Reynolds, Jamie, Han, Yisong, Beanland, Richard, Jiang, Liudi, de Groot, C. H. (Kees) and Huang, Ruomeng (2022) Back‐end‐of‐line SiC‐based memristor for resistive memory and artificial synapse. Advanced Electronic Materials . 2200312. doi:10.1002/aelm.202200312 ISSN 2199-160X.
Karamperidis, Stavros, Kapalidis, Chronis and Watson, Tim (2021) Maritime cyber security : a global challenge tackled through distinct regional approaches. Journal of Marine Science and Engineering, 9 (12). e1323. doi:10.3390/jmse9121323 ISSN 2077-1312.
Karikari, Thomas K., Quansah, Emmanuel and Mohamed, Wael M. Y. (2015) Widening participation would be key in enhancing bioinformatics and genomics research in Africa. Applied & translational genomics, 6 . pp. 35-41. doi:10.1016/j.atg.2015.09.001 ISSN 2212-0661.
Karnalim, Oscar, Budi, Setia, Toba, H and Joy, Mike (2019) Source code plagiarism detection in academia with information retrieval : dataset and the observation. Informatics in Education, 18 (2). pp. 321-344. doi:10.15388/infedu.2019.15
Katsaros, Konstantinos, Dianati, Mehrdad, Tafazolli, Rahim and Guo, Xiaolong (2016) End-to-end delay bound analysis for location-based routing in hybrid vehicular networks. IEEE Transactions on Vehicular Technology, 65 (9). pp. 7462-7475. doi:10.1109/TVT.2015.2482362 ISSN 0018-9545.
Keegans, James D, Stancliffe, Richard J, Bilton, Lawrence E, Cashmore, Claire R, Gibson, Brad K, Kristensen, Mikkel Theiss, Lawson, Thomas V, Pignatari, Marco, Vaezzadeh, Iraj, Côté, Benoit and Chongchitnan, Siri (2021) Project ThaiPASS : international outreach blending astronomy and Python. Physics Education, 56 (3). 035001. doi:10.1088/1361-6552/abdae7 ISSN 1361-6552.
Kermode, James R. (2020) f90wrap : an automated tool for constructing deep Python interfaces to modern Fortran codes. Journal of Physics: Condensed Matter, 32 (30). 305901. doi:10.1088/1361-648x/ab82d2 ISSN 1361-648X.
Kerr, Robert M. (Robert McDougall) (2012) Dissipation and enstrophy statistics in turbulence : are the simulations and mathematics converging? Journal of Fluid Mechanics, Volume 700 . pp. 1-4. doi:10.1017/jfm.2012.111 ISSN 0022-1120.
Keshavarz Haddadha, Parviz, Rezvani, Mohammad Hossein, MollaMotalebi, Mahdi and Shankar, Achyut (2024) Machine learning methods for service placement : a systematic review. Artificial Intelligence Review, 57 (3). 61. doi:10.1007/s10462-023-10684-0 ISSN 1573-7462.
Keskin, Merve, Branke, Juergen, Deineko, Vladimir G. and Strauss, Arne (2023) Dynamic multi-period vehicle routing with touting. European Journal of Operational Research, 310 (1). pp. 168-184. doi:10.1016/j.ejor.2023.02.037 ISSN 0377-2217.
Kettell, Steven (2008) The political economy of open-source software in the United Kingdom. Bulletin of Science, Technology & Society, Vol.28 (No.4). pp. 306-315. doi:10.1177/0270467608320224 ISSN 0270-4676.
Khadidos, Alaa, Sanchez Silva, Victor and Li, Chang-Tsun (2017) Weighted level set evolution based on local edge features for medical image segmentation. IEEE Transactions on Image Processing, 26 (4). pp. 1979-1991. doi:10.1109/TIP.2017.2666042 ISSN 1057-7149.
Khalid, Syma, Hannon, M. J. (Michael J.), Rodger, Alison and Rodger, P. Mark (2007) Shape effects on the activity of synthetic major-groove binding ligands. Journal of Molecular Graphics and Modelling, Vol.25 (No.6). pp. 794-800. doi:10.1016/j.jmgm.2006.07.004 ISSN 1093-3263.
Khan, Adnan M., Sirinukunwattana, Korsuk and Rajpoot, Nasir M. (2015) A global covariance descriptor for nuclear atypia scoring in breast histopathology images. IEEE Journal of Biomedical and Health Informatics, 19 (5). 1637 -1647. doi:10.1109/JBHI.2015.2447008 ISSN 2168-2194.
Khan, Omar, Ahmed, Imran, Cottingham, Joshua, Rahhal, Musa, Arvanitis, Theodoros N. and Elliott, Mark T. (2020) Timing and correction of stepping movements with a virtual reality avatar. PLoS One, 15 (2). e0229641. doi:10.1371/journal.pone.0229641 ISSN 1932-6203.
Khan, Rabia, Mehmood, Amjad, Iqbal, Zeeshan, Maple, Carsten and Epiphaniou, Gregory (2023) Security and privacy in connected vehicle cyber physical system using zero knowledge succinct non interactive argument of knowledge over blockchain. Applied Sciences, 13 (3). 1959. doi:10.3390/app13031959 ISSN 2076-3417.
Khan, Saiful, Nguyen, Phong Hai, Abdul-Rahman, Alfie, Freeman, Euan, Turkay, Cagatay and Chen, Min (2022) Rapid development of a data visualization service in an emergency response. IEEE Transactions on Services Computing, 15 (3). pp. 1251-1264. doi:10.1109/TSC.2022.3164146 ISSN 1939-1374.
Khan, Saiful, Nguyen, Phong. H., Abdul-Rahman, Alfie, Benjamin, Bach, Chen, Min, Freeman, Euan and Turkay, Cagatay (2022) Propagating visual designs to numerous plots and dashboards. IEEE Transactions on Visualization and Computer Graphics, 28 (1). pp. 86-95. doi:10.1109/TVCG.2021.3114828 ISSN 1077-2626.
Khan, Shahid, Reese, Thomas S., Rajpoot, Nasir M. (Nasir Mahmood) and Shabbir, Ayisha (2012) Spatiotemporal maps of CaMKII in dendritic spines. Journal of Computational Neuroscience, Volume 33 (Number 1). pp. 123-139. doi:10.1007/s10827-011-0377-1 ISSN 0929-5313.
Khanagha, S., Ansari, S., Paroutis, Sotirios and Oviedo, Luciano (2022) Mutualism and the dynamics of new platform creation : a study of Cisco and Fog computing. Strategic Management Journal, 43 (3). pp. 476-506. doi:10.1002/smj.3147 ISSN 0143-2095.
Khosravi, A., Malekan, M., Pabon, J. J. G., Zhao, Xiaowei and Assad, M. E. H. (2020) Design parameter modelling of solar power tower system using adaptive neuro-fuzzy inference system optimized with a combination of genetic algorithm and teaching learning-based optimization algorithm. Journal of Cleaner Production, 244 . 118904. doi:10.1016/j.jclepro.2019.118904 ISSN 0959-6526.
Kirton, Jack, Bradbury, Matthew S. and Jhumka, Arshad (2018) Towards optimal source location privacy-aware TDMA schedules in wireless sensor networks. Computer Networks, 146 . p. 125. doi:10.1016/j.comnet.2018.09.010 ISSN 1389-1286.
Kirya, Mark, Debattista, Kurt and Chalmers, Alan (2023) Using virtual environments to facilitate refugee integration in third countries. Virtual Reality, 27 . pp. 97-107. doi:10.1007/s10055-022-00659-x ISSN 1359-4338.
Kiss, Peter (2023) Deterministic dynamic matching in worst-case update time. Algorithmica, 85 . pp. 3741-3765. doi:10.1007/s00453-023-01151-x ISSN 0178-4617.
Klasing, Ralf (1998) Improved compressions of cube-connected cycles networks. IEEE Transactions on Parallel and Distributed Systems, Volume 9 (Number 8). pp. 803-812. doi:10.1109/71.706051 ISSN 1045-9219.
Klein, April, Manini, Raffaele and Shi, Yanting (Crystal) (2022) Across the pond : how U.S. firms' boards of directors adapted to the passage of the GDPR. Contemporary Accounting Research, 39 (1). pp. 199-233. doi:10.1111/1911-3846.12735 ISSN 0823-9150.
Knoblauch, Jeremias, Jewson, Jack E. and Damoulas, Theodoros (2022) An optimization-centric view on Bayes’ rule : reviewing and generalizing variational inference. Journal of Machine Learning Research, 23 (123). pp. 1-109. ISSN 1532-4435.
Kolar, Martin, Chalmers, Alan and Debattista, Kurt (2016) Repeatable texture sampling with interchangeable patches. The Visual Computer, 32 (10). pp. 1263-1272. doi:10.1007/s00371-015-1161-4 ISSN 0178-2789.
Kolar, Martin, Debattista, Kurt and Chalmers, Alan (2017) A subjective evaluation of texture synthesis methods. Computer Graphics Forum, 36 (2). pp. 189-198. doi:10.1111/cgf.13118 ISSN 0167-7055.
Kolokoltsov, V. N. (Vasiliĭ Nikitich) and Bensoussan, A. (2016) Mean-field-game model for botnet defense in cyber-security. Applied Mathematics & Optimization, 74 (3). pp. 669-692. doi:10.1007/s00245-016-9389-6 ISSN 0095-4616.
Kong, Yinghui, Zhang, Shuaitong, Zhang, Ke, Ni, Qiang and Han, Jungong (2022) Real‐time facial expression recognition based on iterative transfer learning and efficient attention network. IET Image Processing, 16 (6). pp. 1694-1708. doi:10.1049/ipr2.12441 ISSN 1751-9659.
Konstantinov, Sergey, Assad, Fadi, Ahmad, Bilal, Vera, Daniel A. and Harrison, Robert (2022) Virtual engineering and commissioning to support the lifecycle of a manufacturing assembly system. Machines, 10 (10). 939. doi:10.3390/machines10100939 ISSN 2075-1702.
Koohbanani, Navid Alemi, Unnikrishnan, Balagopal, Khurram, Syed Ali, Krishnaswamy, Pavitra and Rajpoot, Nasir M. (Nasir Mahmood) (2021) Self-path : self-supervision for classification of pathology images with limited annotations. IEEE Transactions on Medical Imaging, 40 (10). pp. 2845-2856. doi:10.1109/TMI.2021.3056023 ISSN 0278-0062.
Koohy, Hashem, Dyer, Nigel, Reid, John E., Koentges, Georgy and Ott, Sascha (2010) An alignment-free model for comparison of regulatory sequences. Bioinformatics, Vol.26 (No.19). pp. 2391-2397. doi:10.1093/bioinformatics/btq453 ISSN 1367-4803.
Kosmidis, Ioannis (2008) The profileModel R package : profiling objectives for models with linear predictors. R News, 8/2 . pp. 12-18. ISSN 1609-3631.
Kotlarsky, Julia (2007) Re-engineering at LeCroy Corporation: the move to component-based systems. JOURNAL OF INFORMATION TECHNOLOGY, 22 (4). pp. 465-478. doi:10.1057/palgrave.jit.2000099 ISSN 0268-3962.
Kotlarsky, Julia, Oshri, Ilan, van Hillegersberg, Jos and Kumar, Kuldeep (2007) Globally distributed component-based software development: an exploratory study of knowledge management and work division. Journal of Information Technology, Vol.22 (No.2). pp. 161-173. doi:10.1057/palgrave.jit.2000084 ISSN 0268-3962.
Kotlarsky, Julia, van Fenema, Paul C. and Willcocks, Leslie P. (2008) Developing a knowledge-based perspective on coordination: The case of global software projects. Information & Management, Vol.45 (No.2). pp. 96-108. doi:10.1016/j.im.2008.01.001 ISSN 0378-7206.
Koufos, Konstantinos, El Haloui, Karim, Dianati, Mehrdad, Higgins, Matthew D., Elmirghani, Jaafar, Ali Imran, Muhammad and Tafazolli, Rahim (2021) Trends in intelligent communication systems : review of standards, major research projects, and identification of research gaps. Journal of Sensor and Actuator Networks, 10 (4). 60. doi:10.3390/jsan10040060 ISSN 2224-2708.
Kratsch, Stefan, Pilipczuk, Marcin, Pilipczuk, Michał and Wahlström, Magnus (2015) Fixed-parameter tractability of multicut in directed acyclic graphs. SIAM journal on discrete mathematics, Volume 29 (Number 1). pp. 122-144. doi:10.1137/120904202 ISSN 0895-4801.
Kuha, Jouni and Firth, David (2011) On the index of dissimilarity for lack of fit in loglinear and log-multiplicative models. Computational Statistics & Data Analysis, Vol.55 (No.1). pp. 375-388. doi:10.1016/j.csda.2010.05.005 ISSN 0167-9473.
(2022) Roadmap on machine learning in electronic structure. Electronic Structure, 4 (2). 023004. doi:10.1088/2516-1075/ac572f ISSN 2516-1075.
Kumar, Ajay, Gopal, Ram D., Shankar, Ravi and Tan, Kim Hua (2022) Fraudulent review detection model focusing on emotional expressions and explicit aspects : investigating the potential of feature engineering. Decision Support Systems, 155 . 113728. doi:10.1016/j.dss.2021.113728 ISSN 0167-9236.
Kunar, Melina A., Thomas, Sally V. and Watson, Derrick G. (2017) Time-based selection in complex displays : visual marking does not occur in multi-element asynchronous dynamic (MAD) search. Visual Cognition, 25 (1-3). pp. 215-224. doi:10.1080/13506285.2017.1306006 ISSN 1350-6285.
Kusetoğulları, Hüseyin, Sharif, Md. Haidar, 1977-, Leeson, Mark S. and Çelik, Turgay (2015) A reduced-uncertainty hybrid evolutionary algorithm for solving dynamic shortest-path routing problem. Journal of Circuits, Systems and Computers, 24 (5). 1550067. ISSN 0218-1266.
Lachish, Oded and Newman, Ilan (2011) Testing periodicity. Algorithmica, Volume 60 (Number 2). pp. 401-420. doi:10.1007/s00453-009-9351-y ISSN 0178-4617.
Laine, Teemu, Islas Sedano, Carolina, Joy, Mike and Sutinen, Erkki (2010) Critical factors for technology integration in game-based pervasive learning spaces. IEEE Transactions on Learning Technologies, Vol.3 (No.4). pp. 294-306. doi:10.1109/TLT.2010.16 ISSN 1939-1382.
Laine, Teemu and Joy, Mike (2009) Survey on context-aware pervasive learning environments. International Journal of Interactive Mobile Technologies (iJIM), Volume 3 (Number 1). pp. 70-76. doi:10.3991/ijim.v3i1.680 ISSN 1865-7923.
Laine, Teemu, Vinni, Mikko, Islas Sedano, Carolina and Joy, Mike (2010) On designing a pervasive mobile learning platform. ALT-J, Vol.18 (No.1). pp. 3-17. doi:10.1080/09687761003657606 ISSN 0968-7769.
Lakshminarayana, Subhash, Sthapit, Saurav, Jahangir, Hamidreza, Maple, Carsten and Poor, H. Vincent (2022) Data‐driven detection and identification of IoT‐enabled load‐altering attacks in power grids. IET Smart Grid, 5 (3). pp. 203-218. doi:10.1049/stg2.12066 ISSN 2515-2947.
Lallie, Harjinder Singh, Debattista, Kurt and Bal, Jay (2018) Evaluating practitioner cyber-security attack graph configuration preferences. Computers & Security, 79 . pp. 117-131. doi:10.1016/j.cose.2018.08.005 ISSN 0167-4048.
Lallie, Harjinder Singh, Debattista, Kurt and Bal, Jay (2018) An empirical evaluation of the effectiveness of attack graphs and fault trees in cyber-attack perception. IEEE Transactions on Information Forensics and Security, 13 (5). pp. 1110-1122. doi:10.1109/TIFS.2017.2771238 ISSN 1556-6013.
Lallie, Harjinder Singh, Debattista, Kurt and Bal, Jay (2020) A review of attack graph and attack tree visual syntax in cyber security. Computer Science Review, 35 . 100219. ISSN 1574-0137.
Langer, Nishtha, Gopal, Ram and Bapna, Ravi (2020) Onward and upward? An empirical investigation of gender and promotions in Information Technology Services. Information Systems Research, 31 (2). pp. 383-398. doi:10.1287/isre.2019.0892 ISSN 1047-7047.
Laptev, Dmitry, Akman, Ozgur E. and Clement, Richard A. (2006) Stability of the saccadic oculomotor system. Biological Cybernetics, Volume 95 (Number 3). pp. 281-287. doi:10.1007/s00422-006-0087-3 ISSN 0340-1200.
(2017) The atomic simulation environment — a python library for working with atoms. Journal of Physics: Condensed Matter . doi:10.1088/1361-648X/aa680e ISSN 0953-8984.
Law, Timothy R., Hancox, J., Wright, S. A. and Jarvis, Stephen A. (2019) An algorithm for computing short-range forces in molecular dynamics simulations with non-uniform particle densities. Journal of Parallel and Distributed Computing, 130 . pp. 1-11. doi:10.1016/j.jpdc.2019.03.008 ISSN 0743-7315.
Lazic, Ranko (2010) The reachability problem for branching vector addition systems requires doubly-exponential space. Information Processing Letters, Vol.110 (No.17). pp. 740-745. doi:10.1016/j.ipl.2010.06.008 ISSN 0020-0190.
Lazic, Ranko, Newcomb, Tom, Ouaknine, Joel, Roscoe, A. W. and Worrell, James (2008) Nets with tokens which carry data. Fundamenta Informaticae, Vol.88 (No.3). pp. 251-274. ISSN 0169-2968.
Lazic, Ranko, Ouaknine, Joel and Worrell, James (2016) Zeno, Hercules, and the Hydra : safety metric temporal logic is ACKERMANN-complete. ACM Transactions on Computational Logic, 17 (3). 16. doi:10.1145/2874774 ISSN 1557-945X .
Lazic, Ranko and Schmitz, Sylvain (2015) Nonelementary complexities for branching VASS, MELL, and extensions. ACM Transactions on Computational Logic (TOCL), Volume 16 (Number 3). Article number 20. doi:10.1145/2733375 ISSN 1529-3785.
Le, Anhtuan, Chen, Yue-Qin, Chai, Kok Keong, Vasenev, Alexandr and Montoya, Lorena (2018) Incorporating FAIR into Bayesian network for numerical assessment of loss event frequencies of smart grid cyber threats. Mobile Networks and Applications, 1 . pp. 1-9. 11036. doi:10.1007/s11036-018-1047-6 ISSN 1383-469X.
Lee, Chooi Yeng, Moffat, Kevin G., Harris, Philippa, Shepherd, Irwyn and McIntosh, Paul Cross-discipline teaching and learning of cardiology through an augmented reality application. International Journal of Healthcare Simulation . doi:10.54531/nstx3966 ISSN 2754-4524. (In Press)
Lee, Jon, Mirrokni, Vahab S., Nagarajan, Viswanath and Sviridenko, Maxim (2010) Maximizing nonmonotone submodular functions under matroid or knapsack constraints. SIAM Journal on Discrete Mathematics, Vol.23 (No.4). pp. 2053-2078. doi:10.1137/090750020 ISSN 0895-4801.
Lee, Jon, Sviridenko, Maxim and Vondrák, Jan (2009) Submodular maximization over multiple matroids via generalized exchange properties. Lecture Notes in Computer Science, Vol.5687 . pp. 244-257. doi:10.1007/978-3-642-03685-9_19 ISSN 0302-9743.
Lee, Juin-Der, Su, Hong-Ren, Cheng, Philip E., Liou, Michelle, Aston, John A. D., Tsai, Arthur C. and Chen, Cheng-Yu (2009) MR image segmentation using a power transformation approach. IEEE Transactions on Medical Imaging, Vol.28 (No.6). pp. 894-905. doi:10.1109/TMI.2009.2012896 ISSN 0278-0062.
Leeke, Matthew (2020) Simultaneous fault models for the generation and location of efficient error detection mechanisms. The Computer Journal, 63 (5). pp. 758-773. doi:10.1093/comjnl/bxz022 ISSN 0010-4620.
Leeke, Matthew, Jhumka, Arshad and Anand, Sarabjot Singh (2013) Towards the design of efficient error detection mechanisms for transient data errors. Computer Journal, Volume 56 (Number 6). pp. 674-692. doi:10.1093/comjnl/bxs049 ISSN 0010-4620.
Lei, Yongxiang, Chen, Xiaofang, Xie, Yongfang and Cen, Lihui (2022) A self-supervised temporal temperature prediction method based on dilated contrastive learning. Journal of Process Control, 120 . pp. 150-158. doi:10.1016/j.jprocont.2022.11.005 ISSN 0959-1524.
Letchford, Adrian, Preis, Tobias and Moat, Helen Susannah (2016) Quantifying the search behaviour of different demographics using Google Correlate. PLoS One, 11 (2). pp. 1-11. e0149025. doi:10.1371/journal.pone.0149025 ISSN 1932-6203.
Lev, Omer, Mattei, Nicholas, Turrini, Paolo and Zhydkov, Stanislav (2023) PeerNomination : a novel peer selection algorithm to handle strategic and noisy assessments. Artificial Intelligence, 316 . 103843. doi:10.1016/j.artint.2022.103843 ISSN 0004-3702.
Lev, Omer, Mattei, Nicholas, Turrini, Paolo and Zhydkov, Stanislav (2023) PeerNomination : a novel peer selection algorithm to handle strategic and noisy assessments. Artificial Intelligence, 316 . 103843. doi:10.1016/j.artint.2022.103843 ISSN 0004-3702.
Leyva, Roberto, Sanchez Silva, Victor and Li, Chang-Tsun (2019) Compact and low-complexity binary feature descriptor and Fisher Vectors for video analytics. IEEE Transactions on Image Processing, 28 (12). pp. 6169-6184. doi:10.1109/TIP.2019.2922826 ISSN 1057-7149.
Li, Ang, Tjahjadi, Tardi and Staunton, Richard C. (2014) Adaptive deformation correction of depth from defocus for object reconstruction. Journal of the Optical Society of America A: Optics, Image Science and Vision, Volume 31 (Number 12). pp. 2694-2702. doi:10.1364/JOSAA.31.002694 ISSN 1084-7529.
Li, Chang-Tsun (2001) An approach to reducing the labeling cost of Markov random fields within an infinite label space. Signal Processing: Image Communication, Volume 81 (Number 3). pp. 609-620. doi:10.1016/S0165-1684(00)00235-8 ISSN 0923-5965.
Li, Chang-Tsun and Chiao, R. (2003) Multiresolution genetic clustering algorithm for texture segmentation. Image and Vision Computing, Volume 21 (Number 11). pp. 955-966. doi:10.1016/S0262-8856(03)00120-3 ISSN 0262-8856.
Li, Chang-Tsun, Der-Chyuan, L. and Liu, J.-L. (2003) Image integrity and authenticity verification via content-based watermarks and a public key cryptosystem. Journal of Chinese Institute of Electrical Engineering, Volume 10 (Number 1). pp. 99-106.
Li, Chang-Tsun and Li, Yue (2010) Progressive exponential clustering-based steganography. Eurasip Journal on Advances in Signal Processing, Volume 2010 (Number 1). Article number 212517. doi:10.1155/2010/212517 ISSN 1687-6172.
Li, Chang-Tsun, Yuan, Yinyin and Wilson, Roland (2008) An unsupervised conditional random fields approach for clustering gene expression time series. Bioinformatics, Volume 24 (Number 21). pp. 2467-2473. doi:10.1093/bioinformatics/btn375 ISSN 1367-4803.
Li, Chengqing, Lin, Dongdong, Feng, Bingbing, Lü, Jinhu and Hao, Feng (2018) Cryptanalysis of a chaotic image encryption algorithm based on information entropy. IEEE Access, 6 . pp. 75834-75842. doi:10.1109/ACCESS.2018.2883690 ISSN 2169-3536.
Li, Chengqing, Lin, Dongdong, Lü, Jinhu and Hao, Feng (2018) Cryptanalyzing an image encryption algorithm based on autoblocking and electrocardiography. IEEE MultiMedia , 25 (4). pp. 46-56. doi:10.1109/mmul.2018.2873472 ISSN 1070-986X.
Li, Kaidi, Chen, Xuequan, Shen, Shuaiqi, Zhang, Rui and Pickwell-MacPherson, Emma (2019) Genetic algorithm based optimization for terahertz time-domain adaptive sampling. IEEE Transactions on Terahertz Science and Technology, 9 (6). pp. 675-683. doi:10.1109/TTHZ.2019.2935635 ISSN 2156-342X.
Li, Linan, Tan, Zexuan and Han, Xiangzhu (2022) An improved EfficientNet model and its applications in pneumonia image classification. Journal of Engineering Science and Technology Review, 15 (6). pp. 49-54. doi:10.25103/jestr.156.07 ISSN 1791-9320.
Li, Ma and Staunton, Richard C. (2008) Optimum Gabor filter design and local binary patterns for texture segmentation. Pattern Recognition Letters, Vol.29 (No.5). pp. 664-672. doi:10.1016/j.patrec.2007.12.001 ISSN 0167-8655.
Li, Qiuyun, Xu, Hong and Hu, Yubei (2022) Are you a spontaneous traveler? Effect of sensation seeking on tourist planfulness in the mobile era. Frontiers in Psychology, 13 . 968548. doi:10.3389/fpsyg.2022.968548 ISSN 1664-1078.
Li, Rui, Zhang, Jincheng and Zhao, Xiaowei (2022) Dynamic wind farm wake modeling based on a bilateral convolutional neural network and high-fidelity LES data. Energy, 258 . 124845. doi:10.1016/j.energy.2022.124845 ISSN 0360-5442.
Li, Rui, Zhang, Jincheng and Zhao, Xiaowei (2022) Multi-fidelity modeling of wind farm wakes based on a novel super-fidelity network. Energy Conversion and Management, 270 . 116185. doi:10.1016/j.enconman.2022.116185
Li, Tankun, Chan, Kwok-Leung and Tjahjadi, Tardi (2023) Multi-scale correlation module for video-based facial expression recognition in the wild. Pattern Recognition, 142 . 109691. doi:10.1016/j.patcog.2023.109691 ISSN 0031-3203.
Li, Wei, Raj, Alex Noel Joseph, Tjahjadi, Tardi and Zhuang, Zhemin (2022) Fusion of ANNs as decoder of retinal spike trains for scene reconstruction. Applied Intelligence, 52 . pp. 15164-15176. doi:10.1007/s10489-022-03402-w ISSN 0924-669X.
Li, Yung-Hui, Harfiya, Latifa Nabila and Chang, Ching-Chun (2021) Featureless blood pressure estimation based on photoplethysmography signal using CNN and BiLSTM for IoT devices. Wireless Communications and Mobile Computing, 2021 . 9085100 . doi:10.1155/2021/9085100 ISSN 1530-8677.
Liang, Bin, Yin, Rongdi, Du, Jiachen, Gui, Lin, He, Yulan, Yang, Min and Xu, Ruifeng (2023) Embedding refinement framework for targeted aspect-based sentiment analysis. IEEE Transactions on Affective Computing, 14 (1). 279 -293. doi:10.1109/TAFFC.2021.3071388 ISSN 1939-1374.
Liao, Yangzhe, Han, Yi, Yu, Quan, Ai, Qingsong, Liu, Quan and Leeson, Mark S. (2018) Wireless body area network mobility-aware task offloading scheme. IEEE Access, 6 . pp. 61366-61376. doi:10.1109/ACCESS.2018.2876311 ISSN 2169-3536.
Liao, Yangzhe, Yu, Quan, Han, Yi and Leeson, Mark S. (2018) Relay-enabled task offloading management for wireless body area networks. Applied Sciences, 8 (8). 1409. doi:10.3390/app8081409 ISSN 2076-3417.
Liem, J., Slingsby, E., Goudarouli, E., Bell, M., Turkay, Cagatay, Perin, C. and Wood, J. (2023) Visualising the uncertain in heritage collections : understanding, exploring and representing uncertainty in the First World War British Unit War Diaries. Literary Geographies, 9 (1). pp. 101-123. ISSN 2397-1797.
Ligang, He, Jarvis, Stephen A., Spooner, Daniel P., Hong, Jiang, Dillenberger, D. N. and Nudd, G. R. (2006) Allocating non-real-time and soft real-time jobs in multiclusters. IEEE Transactions on Parallel and Distributed Systems, Volume 17 (Number 2). pp. 99-112. ISSN 1045-9219.
Lim Choi Keung, Hélène Niuklan, Dyson, J. R. D., Jarvis, Stephen A. and Nudd, G. R. (2003) Performance evaluation of a grid resource monitoring and discovery service. IEE Proceedings - Software, Volume 150 (Number 4). pp. 243-251. doi:10.1049/ip-sen:20030809 ISSN 1462-5970.
Lin, Iuon-Chang, Chang, Ching-Chun and Peng, Chih-Hsiang (2022) An anomaly-based IDS framework using centroid-based classification. Symmetry, 14 (1). e105. doi:10.3390/sym14010105 ISSN 2073-8994.
Lin, Xufeng and Li, Chang-Tsun (2016) Enhancing sensor pattern noise via filtering distortion removal. IEEE Signal Processing Letters, 23 (3). 381 -385. doi:10.1109/LSP.2016.2521349 ISSN 1070-9908.
Lin, Xufeng and Li, Chang-Tsun (2016) Preprocessing reference sensor pattern noise via spectrum equalization. IEEE Transactions on Information Forensics and Security, 11 (1). pp. 126-140. doi:10.1109/TIFS.2015.2478748 ISSN 1556-6013.
Lin, Xufeng, Li, Chang-Tsun, Adams, Scott, Kouzani, Abbas Z., Jiang, Richard, He, Ligang, Hu, Yongjian, Vernon, Michael, Doeven, Egan, Webb, Lawrence, Mcclellan, Todd and Guskich, Adam (2023) Self-supervised leaf segmentation under complex lighting conditions. Pattern Recognition, 135 . 109021. doi:10.1016/j.patcog.2022.109021 ISSN 0031-3203.
Lin, Xufeng, Li, Chang-Tsun, Sanchez Silva, Victor and Maple, Carsten (2021) On the detection-to-track association for online multi-object tracking. Pattern Recognition Letters, 146 . pp. 200-207. doi:10.1016/j.patrec.2021.03.022 ISSN 0167-8655.
Lin, Yiyan, Kulkarni, Sandeep and Jhumka, Arshad (2019) Automation of fault-tolerant graceful degradation. Distributed Computing, 32 (1). pp. 1-25. doi:10.1007/s00446-017-0319-x ISSN 0178-2770.
Liu, Chenguang, Chen, Yunfei and Yang, Shuang-Hua (2022) Deep learning based detection for communications systems with radar interference. IEEE Transactions on Vehicular Technology, 71 (6). pp. 6245-6254. doi:10.1109/TVT.2022.3158692 ISSN 0018-9545.
Liu, Chenguang, Chen, Yunfei and Yang, Shuang-Hua (2021) Signal detection with co-channel interference using deep learning. Physical Communication, 47 . 101343. doi:10.1016/j.phycom.2021.101343 ISSN 1874-4907.
Liu, Chenguang, Zhou, Yuxin, Chen, Yunfei and Yang, Shuang-Hua (2023) Knowledge distillation based semantic communications for multiple users. IEEE Transactions on Wireless Communications . doi:10.1109/TWC.2023.3336941 ISSN 1536-1276. (In Press)
Liu, Chunfeng, Zheng, Gan, Guo, Weisi and He, Ran (2020) Kalman prediction based neighbor discovery and its effect on routing protocol in vehicular ad hoc networks. IEEE Transactions on Intelligent Transportation Systems, 21 (1). pp. 159-169. doi:10.1109/TITS.2018.2889923 ISSN 1524-9050.
Liu, M., Liu, Z., Lu, W., Chen, Yunfei, Gao, X. and Zhao, N. (2022) Distributed few-shot learning for intelligent recognition of communication jamming. IEEE Journal of Selected Topics in Signal Processing, 16 (3). pp. 395-405. doi:10.1109/JSTSP.2021.3137028 ISSN 1932-4553.
Liu, Mingqian, Liu, Chunheng, Chen, Yunfei, Yan, Zhiwen and Zhao, Nan (2023) Radio frequency fingerprint collaborative intelligent blind identification for green radios. IEEE Transactions on Green Communications and Networking, 7 (2). pp. 940-949. doi:10.1109/TGCN.2022.3185045 ISSN 2473-2400.
Liu, Pengtao, An, Kang, Lei, Jing, Liu, Wei, Sun, Yifu, Zheng, Gan and Chatzinotas, Symeon (2023) SCMA-enabled multi-cell edge computing networks : design and optimization. IEEE Transactions on Vehicular Technology, 72 (6). pp. 7987-8003. doi:10.1109/tvt.2023.3242422 ISSN 1939-9359.
Liu, Shuhong, Li, Shengcai, Zhang, Liang and Wu, Yulin (2008) A mixture model with modified mass transfer expression for cavitating turbulent flow simulation. Engineering Computations, Vol.25 (No.3-4). pp. 290-304. doi:10.1108/02644400810874930 ISSN 0264-4401.
Liu, Wei, Chen, Li, Chen, Yunfei and Zhang, Wenyi (2020) Accelerating federated learning via momentum gradient descent. IEEE Transactions on Parallel and Distributed Systems, 31 (8). pp. 1754-1766. doi:10.1109/TPDS.2020.2975189 ISSN 1045-9219.
Liu, Xinxin and Yu, Weiren (2023) Individuality-enhanced and multi-granularity consistency-preserving graph neural network for semi-supervised node classification. Applied Intelligence, 53 . pp. 27608-27623. doi:10.1007/s10489-023-04974-x ISSN 0924-669X.
Liu, Yang, Gao, Xinbo, Gan, Quanxue, Shao, Ling and Han, Jungong (2019) Adaptive robust principal component analysis. Neural Networks, 119 . pp. 85-92. doi:10.1016/j.neunet.2019.07.015 ISSN 0893-6080.
Liu, Yi, Han, Jungong, Zhang, Qiang and Shan, Caifeng (2019) Deep salient object detection with contextual information guidance. IEEE Transactions on Image Processing, 29 . pp. 360-374. doi:10.1109/TIP.2019.2930906 ISSN 1057-7149.
Lo, Cheng-Hung, Chu, Chih-Hsing, Debattista, Kurt and Chalmers, Alan (2010) Selective rendering for efficient ray traced stereoscopic images. The Visual Computer, Vol.26 (No.2). pp. 97-107. doi:10.1007/s00371-009-0379-4 ISSN 0178-2789.
Loeber, S. and Cristea, Alexandra I. (2003) A WWW information seeking process model. Educational Technology and Society, Volume 6 (Number 3). pp. 43-52. ISSN 1436-4522.
Loman, Nicholas J. and Pallen, Mark J. (2010) EntrezAJAX : direct web browser access to the Entrez Programming Utilities. Source Code for Biology and Medicine, volume 5 (Number 1). Article number 6. doi:10.1186/1751-0473-5-6 ISSN 1751-0473.
Lourida, Katerina, Mouhtaropoulos, Antonis and Vakaloudis, Alex (2013) Assessing database and network threats in traditional and cloud computing. International Journal of Cyber-Security and Digital Forensics, Volume 2 (Number 3). pp. 1-17. ISSN 2305-0012.
Lozin, Vadim V. (2008) Boundary classes of planar graphs. Combinatorics, Probability & Computing, Vol.17 (No.2). pp. 287-295. doi:10.1017/S0963548307008814 ISSN 0963-5483.
Lozin, Vadim V. (2010) A decidability result for the dominating set problem. Theoretical Computer Science, Vol.411 (No.44-46). pp. 4023-4027. doi:10.1016/j.tcs.2010.08.027 ISSN 0304-3975.
Lozin, Vadim V., Malyshev, Dmitriy, Mosca, Raffaele and Zamaraev, Viktor (2020) Independent domination versus weighted independent domination. Information Processing Letters, 156 . 105914. doi:10.1016/j.ipl.2020.105914 ISSN 0020-0190.
Lozin, Vadim V. and Mosca, Raffaele (2009) Maximum independent sets in subclasses of P-5-free graphs. Information Processing Letters, Vol.109 (No.6). pp. 319-324. doi:10.1016/j.ipl.2008.11.005 ISSN 0020-0190.
Lu, Wenlian, Feng, Jianfeng, Amari, Shun’ichi and Waxman, David, Prof. (2013) Achieving precise mechanical control in intrinsically noisy systems. New Journal of Physics, Volume 15 (Number 6). Articel number 063012. doi:10.1088/1367-2630/15/6/063012 ISSN 1367-2630.
Lu, Wenqi, Toss, Michael, Dawood, Muhammad, Rakha, Emad, Rajpoot, Nasir and Minhas, Fayyaz (2022) SlideGraph+ : whole slide image level graphs to predict HER2 status in breast cancer. Medical Image Analysis, 80 . 102486. doi:10.1016/j.media.2022.102486 ISSN 1361-8415.
Lu, X. and Martin, Graham R. (2012) Fast H.264/SVC inter-frame and inter-layer mode decisions based on motion activity. Electronics Letters, Vol.48 (No.2). pp. 84-85. doi:10.1049/el.2011.3106 ISSN 0013-5194.
Lu, Zhenjian and Oliveira, Igor C. (2022) Theory and applications of probabilistic Kolmogorov complexity. Bulletin of EATCS, 137 .
Luck, Michael (Michael M.) and Joy, Mike (1995) Automatic submission in an evolutionary approach to computer science teaching. Computers & Education, Volume 25 (Number 3). pp. 105-111. doi:10.1016/0360-1315(95)00056-9 ISSN 0360-1315.
Luck, Michael (Michael M.) and Joy, Mike (1999) A secure on-line submission system. Software : Practice and Experience, Volume 29 (Number 8). pp. 721-740. ISSN 0038-0644.
Lucu, M., Martinez-Laserna, E., Gandiaga, I., Liu, Kailong, Camblong, H., Widanage, Widanalage Dhammika and Marco, James (2020) Data-driven nonparametric Li-ion battery ageing model aiming at learning from real operation data – Part A : storage operation. Journal of Energy Storage, 30 . 101409. doi:10.1016/j.est.2020.101409 ISSN 2352-152X.
Luo, Ge, Wang, Lu, Yi, Ke and Cormode, Graham (2016) Quantiles over data streams : experimental comparisons, new analyses, and further improvements. The VLDB Journal, 25 (4). pp. 449-472. doi:10.1007/s00778-016-0424-7 ISSN 1066-8888.
Luo, Man, Du, Bowen, Klemmer, Konstantin, Zhu, Hongming, Ferhatosmanoglu, Hakan and Wen, Hongkai (2020) D3P : Data-driven demand prediction for fast expanding electric vehicle sharing systems. Proceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies, 4 (1). 21. doi:10.1145/3381005 ISSN 2474-9567.
Luo, Man, Du, Bowen, Klemmer, Konstantin, Zhu, Hongming and Wen, Hongkai (2022) Deployment optimization for shared e-mobility systems with multi-agent deep neural search. IEEE Transactions on Intelligent Transportation Systems, 23 (3). pp. 2549-2560. doi:10.1109/TITS.2021.3125745 ISSN 1524-9050.
Luo, Man, Du, Bowen, Zhang, Wenzhe, Song, Tianyou, Liu, Kun, Zhu, Hongming, Birkin, Mark and Wen, Hongkai (2023) Fleet rebalancing for expanding shared e-mobility systems : a multi-agent deep reinforcement learning approach. IEEE Transactions on Intelligent Transportation Systems, 24 (4). pp. 3868-3881. doi:10.1109/TITS.2022.3233422 ISSN 1524-9050.
Lury, Celia (2019) Algorithmic personalisation as a mode of individuation. Theory Culture & Society, 36 (2). pp. 17-37. doi:10.1177/0263276418818888 ISSN 0263-2764.
Lusczek, Elizabeth R., Vincente, Tyrone, Lexcen, Daniel, Kulkarni, Vishwesh V., Mulier, Kristine and Beilman, Greg (2015) Metabolic networks in a porcine model of trauma and hemorrhagic shock demonstrate different control mechanism with carbohydrate pre-feed. BMC Emergency Medicine, 15 (13). pp. 1-7. doi:10.1186/s12873-015-0038-1 ISSN 1471-227X.
Lutton, Judith E., Collier, Sharon and Bretschneider, Till (2021) A curvature-enhanced random walker segmentation method for detailed capture of 3D cell surface Membranes. IEEE Transactions on Medical Imaging, 40 (2). pp. 514-526. doi:10.1109/TMI.2020.3031029 ISSN 0278-0062.
Lv, Yongfeng, Na, Jing, Zhao, Xiaowei, Huang, Yingbo and Ren, Xuemei (2023) Multi-H∞ controls for unknown input-interference nonlinear system with reinforcement learning. IEEE Transactions on Neural Networks and Learning Systems, 34 (9). pp. 5601-5613. doi:10.1109/TNNLS.2021.3130092 ISSN 2162-237X.
Ma, He, Xu, Hong-Ming and Wang, Ji-Hong (2011) Real-time control oriented HCCI engine cycle-to-cycle dynamic modelling. International Journal of Automation and Computing , Vol.8 (No.3). pp. 317-325. doi:10.1007/s11633-011-0587-z ISSN 1476-8186.
Ma, Li and Staunton, Richard C. (2007) A modified fuzzy C-means image segmentation algorithm for use with uneven illumination patterns. Pattern Recognition, Vol.40 (No.11). pp. 3005-3011. doi:10.1016/j.patcog.2007.02.005 ISSN 0031-3203.
Mahmood, Arif, Small, Michael, Al-Maadeed, Somaya and Rajpoot, Nasir M. (2017) Using geodesic space density gradients for network community detection. IEEE Transactions on Knowledge and Data Engineering, 294 (4). pp. 921-935. doi:10.1109/TKDE.2016.2632716 ISSN 1041-4347.
Mahmood, Azhar, Khan, Abid, Anjum, Adeel, Maple, Carsten and Jeon, Gwanggil (2023) An efficient and privacy-preserving blockchain-based secure data aggregation in smart grids. Sustainable Energy Technologies and Assessments, 60 . 103414. doi:10.1016/j.seta.2023.103414 ISSN 2213-1388.
Main, C., Moxham, T., Wyatt, Jeremy C., Kay, J., Anderson, R. and Stein, K. (2010) Computerised decision support systems in order communication for diagnostic, screening or monitoring test ordering: systematic reviews of the effects and cost-effectiveness of systems. Health Technology Assessment, Vol.14 (No.48). pp. 1-227. doi:10.3310/hta14480 ISSN 1366-5278.
Mandal, Kalikinkar, Saha, Dhiman, Sarkar, Sumanta and Todo, Yosuke (2022) Sycon : a new milestone in designing ASCON-like permutations. Journal of Cryptographic Engineering, 12 . pp. 305-327. doi:10.1007/s13389-021-00272-9 ISSN 2190-8508.
Mania, Katerina, Troscianko, Tom, Hawkes, Rycharde and Chalmers, Alan (2003) Fidelity metrics for virtual environment simulations based on spatial memory awareness states. Presence, Vol.12 (No.3). pp. 296-310. doi:10.1162/105474603765879549 ISSN 1054-7460.
Manino, Edoardo, Tran-Thanh, Long and Jennings, Nicholas R. (2019) On the efficiency of data collection for multiple Naïve Bayes classifiers. Artificial Intelligence, 275 . pp. 356-378. doi:10.1016/j.artint.2019.06.010 ISSN 0004-3702.
Mariam, Komal, Afzal, Osama Mohammed, Hussain, Wajahat, Javed, Muhammad Umar, Kiyani, Amber, Rajpoot, Nasir M. (Nasir Mahmood), Khurram, Syed Ali and Khan, Hassan Aqeel (2022) On smart gaze based annotation of histopathology images for training of deep convolutional neural networks. IEEE Journal of Biomedical and Health Informatics . doi:10.1109/JBHI.2022.3148944 ISSN 2168-2194.
Marmier, Arnaud, Lethbridge, Zoe A. D., Walton, Richard I., Smith, C. W. (Christopher W.), Parker, Stephen C. and Evans, K. E. (Kenneth E.) (2010) ElAM : a computer program for the analysis and representation of anisotropic elastic properties. Computer Physics Communications, Vol.181 (No.2). pp. 2102-2115. doi:10.1016/j.cpc.2010.08.033 ISSN 0010-4655.
Marnerides, Demetris, Bashford-Rogers, Thomas and Debattista, Kurt (2021) Deep HDR hallucination for inverse tone mapping. Sensors, 21 (12). 4032. doi:10.3390/s21124032 ISSN 1424-8220.
Marques, Rafael Salema, Al-Khateeb, Haider, Epiphaniou, Gregory and Maple, Carsten (2022) APIVADS : a novel privacy-preserving pivot attack detection scheme based on statistical pattern recognition. IEEE Transactions on Information Forensics and Security, 17 . pp. 700-715. doi:10.1109/TIFS.2022.3146076 ISSN 1556-6013.
Marques, Rafael Salema, Epiphaniou, Gregory, Al-Khateeb, Haider, Maple, Carsten, Hammoudeh, Mohammad, Lima de Castro, Paulo Andre, Dehghantanha, Ali and Choo, Kim-Kwang Raymond (2020) A flow-based multi-agent data exfiltration detection architecture for ultra-low latency networks. ACM Transactions on Internet Technology, 21 (4). 103. doi:10.1145/3419103 ISSN 1533-5399.
Marres, Noortje (2020) For a situational analytics : an interpretative methodology for the study of situations in computational settings. Big Data & Society, 7 (2). pp. 1-9. doi:10.1177/2053951720949571 ISSN 2053-9517.
Martin, Andrew (2010) Database Manager. Simulation & Gaming, Vol.41 (No.3). pp. 418-431. doi:10.1177/1046878109334041 ISSN 1046-8781.
Martin, Andrew (2012) Enterprise IT architecture in large federated organizations : the art of the possible. Information Systems Management, Vol.29 (No.2). pp. 137-147. doi:10.1080/10580530.2012.662103 ISSN 1058-0530.
Martin, Andrew (1988) Expert system for selecting expert system shells. Information and Software Technology, 30 (10). pp. 579-586. doi:10.1016/0950-5849(88)90114-0 ISSN 0950-5849.
Martin, Andrew (1996) Information Systems project redefinition in New Zealand : Will we ever learn? Australian Computer Journal , 28 (1). pp. 27-40. ISSN 0004-8917.
Martin, J. M. R and Tiskin, Alexander (2004) Dynamic BSP : towards a flexible approach to parallel computing over the grid. Communicating Process Architectures . pp. 219-226. ISSN 1383-7575.
Mason, Sam, Sayyid, Faiz, Kirk, Paul, Starr, Colin and Wild, David L. (2016) MDI-GPU : accelerating integrative modelling for genomic-scale data using GP-GPU computing. Statistical Applications in Genetics and Molecular Biology, 15 (1). pp. 83-86. doi:10.1515/sagmb-2015-0055 ISSN 1544-6115.
Masood, Khalid and Rajpoot, Nasir M. (Nasir Mahmood) (2008) Spatial analysis for colon biopsy classification from hyperspectral imagery. Annals of the BMVA, Volume 2008 (Number 4).
Matias, Yossi, Rajpoot, Nasir M. (Nasir Mahmood) and Sahinalp, Suleyman Cenk (2001) The effect of flexible parsing for dynamic dictionary based data compression. Journal of Experimental Algorithmics (JEA), Volume 6 . pp. 1-19. Article Number 10 . doi:10.1145/945394.945404 ISSN 1084-6654.
Maumet, Camille, Auer, Tibor, Bowring, Alexander, Chen, Gang, Das, Samir, Flandin, Guillaume, Ghosh, Satrajit, Glatard, Tristan, Gorgolewski, Krzysztof J., Helmer, Karl G., Jenkinson, Mark, Keator, David B., Nichols, B. Nolan, Poline, Jean-Baptiste, Reynolds, Richard, Sochat, Vanessa, Turner, Jessica and Nichols, Thomas E. (2016) Sharing brain mapping statistical results with the neuroimaging data model. Scientific Data, 3 . 160102. doi:10.1038/sdata.2016.102 ISSN 2052-4463.
Mawson, Kate (2016) Harnessing technology to provide the support that trainees require to write high quality reflective statements. Science Education Teacher (75). pp. 19-28. ISSN 1756-915X.
Mbonu, Washington Enyinna, Maple, Carsten and Epiphaniou, Gregory (2023) An end-process blockchain-based secure aggregation mechanism using federated machine learning. Electronics, 12 (21). 4543. doi:10.3390/electronics12214543 ISSN 2079-9292.
McCaig, Duncan, Bhatia, Sudeep, Elliott, Mark T., Walasek, Lukasz and Meyer, Caroline (2018) Text-mining as a methodology to assess eating disorder-relevant factors : comparing mentions of fitness tracking technology across online communities. International Journal of Eating Disorders, 51 (7). pp. 647-655. doi:10.1002/eat.22882 ISSN 1098-108X.
McCluskey, W., Dyson, K., McFall, D. and Anand, Sarabjot Singh (1996) Mass appraisal for property taxation : an artificial intelligence approach. Australian Land Economics Review, Volume 2 (Number 1). ISSN 1444-5921.
McCorry, Patrick, Mehrnezhad, Maryam, Toreini, Ehsan, Shahandashti, Siamak F. and Hao, Feng (2021) On secure E-voting over blockchain. Digital Threats : Research and Practice, 2 (4). 33. doi:10.1145/3461461 ISSN 2692-1626.
McMahon, Kathryn E. (2022) USA : monopolization of mobile ecosystems - the decision in Epic v Apple. Concurrences Review (3). 107327. ISSN 1773-9578.
McSloy, Adam, Fan, G., Sun, W., Hölzer, C., Friede, M., Ehlert, S., Schütte, N-E., Grimme, S., Frauenheim, T. and Aradi, B. (2023) TBMaLT, a flexible toolkit for combining tight-binding and machine learning. The Journal of Chemical Physics, 158 (3). 034801. doi:10.1063/5.0132892 ISSN 0021-9606.
Meehan, Gary and Joy, Mike (1998) Animated fuzzy logic. Journal of Functional Programming, Volume 8 (Number 8). pp. 503-525. doi:10.1017/S0956796898003177
Meehan, Gary and Joy, Mike (1999) Compiling lazy functional programs to Java bytecode. Software: Practice and Experience, Volume 29 (Number 7). pp. 617-645. doi:10.1002/(SICI)1097-024X(199906)29:7<617::AID-SPE250>3.0.CO;2-E ISSN 0038-0644.
Mehmood, Amjad, Epiphaniou, Gregory, Maple, Carsten, Ersotelos, Nikolaos and Wiseman, Richard (2023) A hybrid methodology to assess cyber resilience of IoT in energy management and connected sites. Sensors, 23 (21). 8720. doi:10.3390/s23218720 ISSN 1424-8220.
Meng, Xiaoliang, Yang, Yuechi, Wang, Libo, Wang, Teng, Li, Rui and Zhang, Ce (2022) Class-guided swin transformer for semantic segmentation of remote sensing imagery. Geoscience and Remote Sensing Letters, 19 . 6517505. doi:10.1109/LGRS.2022.3215200 ISSN 1545-598X.
Merali, Yasmin (2012) Beyond problem solving: Realising organisational intelligence in dynamic contexts. OR Insight, Vol.25 (No.1). pp. 5-22. doi:10.1057/ori.2011.11 ISSN 0953-5543.
Merali, Yasmin (2006) Complexity and information systems: the emergent domain. JOURNAL OF INFORMATION TECHNOLOGY, 21 (4). pp. 216-228. doi:10.1057/palgrave.jit.2000081 ISSN 0268-3962.
Mias, Christos (2008) Electronic problem based learning of electromagnetics through software development. Computer Applications in Engineering Education, Vol.16 (No.1). pp. 12-20. doi:10.1002/cae.20112 ISSN 1061-3773.
Milich, Marcel, Mutze, Torsten and Pergel, Martin (2021) On flips in planar matchings. Discrete Applied Mathematics, 289 . pp. 427-445. doi:10.1016/j.dam.2020.10.018 ISSN 0166-218X.
Milner, Robin, Parrow, Joachim and Walker, David (1993) Modal logics for mobile processes. Theoretical Computer Science, Volume 114 (Number 1). pp. 149-171. doi:10.1016/0304-3975(93)90156-N ISSN 0304-3975.
Milonia, Stefano and Mazzamurro, Matteo (2022) Temporal networks of ‘Contrafacta’ in the first three troubadour generations. Digital Scholarship in the Humanities, 38 (1). pp. 240-256. doi:10.1093/llc/fqac018 ISSN 2055-7671.
Miltersen, Peter Bro, Paterson, Michael S. and Tarui, Jun (1996) The asymptotic complexity of merging networks. Journal of the ACM, Volume 43 (Number 1). pp. 147-165. ISSN 0004-5411.
Minhas, Fayyaz ul Amir Afsar, Asif, Amina and Arif, Muhammad (2016) CAFÉ-Map : context aware feature mapping for mining high dimensional biomedical data. Computers in Biology and Medicine, 79 . pp. 68-79. doi:10.1016/j.compbiomed.2016.10.006 ISSN 0010-4825.
Minhas, Fayyaz ul Amir Afsar, Ross, Eric D. and Ben-Hur, Asa (2017) Amino acid composition predicts prion activity. PLoS Computational Biology, 13 (4). e1005465. doi:10.1371/journal.pcbi.1005465 ISSN 1553-7358.
Miranda, Douglas M., Branke, Jürgen and Conceição, Samuel V. (2018) Algorithms for the multi-objective vehicle routing problem with hard time windows and stochastic travel time and service time. Applied Soft Computing, 70 . pp. 66-79. doi:10.1016/j.asoc.2018.05.026 ISSN 1872-9681.
Miranda-Agrippino, Silvia and Ricco, Giovanni (2021) The transmission of monetary policy shocks. American Economic Journal: Macroeconomics, 13 (3). pp. 74-107. doi:10.1257/mac.20180124 ISSN 1945-7707.
Mirylenka, Katsiaryna, Cormode, Graham, Palpanas, Themis and Srivastava, Divesh (2015) Conditional heavy hitters : detecting interesting correlations in data streams. The VLDB Journal, 24 (3). pp. 395-414. doi:10.1007/s00778-015-0382-5 ISSN 1066-8888.
Mitra, Subhobrata, Bhalerao, Abhir, Summers, P. and Williams, S. C. R. (Steven C. R.) (2005) Cortical organization of sensory corrections in visuomotor skill acquisition. Neuroscience Letters, Volume 381 (Number 1-2). pp. 76-81. doi:10.1016/j.neulet.2005.03.006 ISSN 0304-3940.
Moat, Helen Susannah, Olivola, Christopher Y., Chater, Nick and Preis, Tobias (2016) Searching choices : quantifying decision-making processes using search engine data. Topics in Cognitive Science, 8 . pp. 685-696. doi:10.1111/tops.12207 ISSN 1756-8757.
Mohamad, Saad, Alamri, Hamad and Bouchachia, Abdelhamid (2022) Scaling up stochastic gradient descent for non-convex optimisation. Machine Learning, 111 . pp. 4039-4079. doi:10.1007/s10994-022-06243-3 ISSN 2632-2153.
Moore, Jonathan D. and Allaby, Robin G. (2008) TreeMos : a high-throughput phylogenomic approach to find and visualize phylogenetic mosaicism. Bioinformatics, Vol.24 (No.5). pp. 717-718. doi:10.1093/bioinformatics/btn027 ISSN 1367-4803.
Morales-Molina, Carlos D., Hernandez-Suarez, Aldo, Sanchez-Perez, Gabriel, Toscano-Medina, Linda K., Perez-Meana, Hector, Olivares-Mercado, Jesus, Portillo-Portillo, Jose, Sanchez Silva, Victor and Garcia-Villalba, Luis Javier (2021) A dense neural network approach for detecting clone ID attacks on the RPL protocol of the IoT. Sensors, 21 (9). e3173. doi:10.3390/s21093173 ISSN 1424-8220.
Moreno, Andrés and Joy, Mike (2007) Jeliot 3 in a demanding educational setting. Electronic Notes in Theoretical Computer Science, Volume 178 . pp. 51-59. doi:10.1016/j.entcs.2007.01.033 ISSN 1571-0661.
Moreno, Andrés, Joy, Mike, Myller, Niko and Sutinen, Erkki (2010) Layered architecture for automatic generation of conflictive animations in programming education. IEEE Transactions on Learning Technologies, Vol.3 (No.2). pp. 139-151. doi:10.1109/TLT.2009.36 ISSN 1939-1382.
Moreno, Andrés, Joy, Mike and Sutinen, Erkki (2013) Roles of animation tools in understanding programming concepts. Journal of Educational Multimedia and Hypermedia, Volume 22 (Number 2). pp. 165-184. ISSN 1055-8896.
Morgan, R. G. and Jarvis, Stephen A. (1998) Profiling large-scale lazy functional programs. Journal of Functional Programming, Volume 8 (Number 3). pp. 201-237. ISSN 0956-7968.
Morrissey, Edward R., Juárez, Miguel A., Denby, Katherine J. and Burroughs, Nigel John (2010) On reverse engineering of gene interaction networks using time course data with repeated measurements. Bioinformatics, Vol.26 (No.18). pp. 2305-2312. doi:10.1093/bioinformatics/btq421 ISSN 1367-4803.
Mougiakakou, Stavroula G., Kyriacou, Efthyvoulos, Perakis, Kostas, Papadopoulos, Homer, Androulidakis, Aggelos, Konnis, Georgios, Tranfaglia, Riccardo, Pecchia, Leandro, Bracale, Umberto, Pattichis, Constantinos S. and Koutsouris, Dimitrios (2011) A feasibility study for the provision of electronic healthcare tools and services in areas of Greece, Cyprus and Italy. BioMedical Engineering OnLine, Volume 10 (Number 1). Article number 49. doi:10.1186/1475-925X-10-49 ISSN 1475-925X.
Mouhtaropoulos, Antonis, Li, Chang-Tsun and Grobler, Marthie (2014) Digital forensic readiness : are we there yet? Journal of International Commercial Law and Technology, Volume 9 (Number 3). pp. 173-179. ISSN 1901-8401.
Mozaffari, Sajjad, Arnold, Eduardo, Dianati, Mehrdad and Fallah, Saber (2022) Early lane change prediction for automated driving systems using multi-task attention-based convolutional neural networks. IEEE Transactions on Intelligent Vehicles, 7 (3). pp. 758-770. doi:10.1109/TIV.2022.3161785 ISSN 2379-8858.
Mozgovoy, M., Kakkonen, T. and Cosma, Georgina (2010) Automatic student plagiarism detection : future perspectives. Journal of Educational Computing Research, Vol.43 (No.4). pp. 511-531. doi:10.2190/EC.43.4.e ISSN 0735-6331.
Mukherjee, Sach, Pelech, Steven, Neve, Richard M., Kuo, Wen-Lin, Ziyad, Safiyyah, Spellman, Paul T., Gray, Joe W. and Speed, Terence P. (2009) Sparse combinatorial inference with an application in cancer biology. Bioinformatics, Vol.25 (No.2). pp. 265-271. doi:10.1093/bioinformatics/btn611 ISSN 1367-4803.
Mukhopadhyay, Arpan (2023) Phase transitions in biased opinion dynamics with 2-choices rule. Probability in Engineering and Informational Sciences . pp. 1-18. doi:10.1017/S0269964823000098 (In Press)
Mukhopadhyay, Arpan, Bhambay, Sanidhay and Vasantsam, Thirupathaiah (2024) The impact of load comparison errors on the power-of-d load balancing. Performance Evaluation, 164 . doi:10.1016/j.peva.2024.102408 ISSN 0166-5316.
Mukhopadhyay, Arpan, Karthik, A., Mazumdar, Ravi R. and Guillemin, Fabrice (2015) Mean field and propagation of chaos in multi-class heterogeneous loss models. Performance Evaluation, 91 . pp. 117-131. doi:10.1016/j.peva.2015.06.008 ISSN 0166-5316.
Mukhopadhyay, Arpan, Mazumdar, Ravi R. and Roy, Rahul (2020) Voter and majority dynamics with biased and stubborn agents. Journal of Statistical Physics, 181 . pp. 1239-1265. doi:10.1007/s10955-020-02625-w ISSN 0022-4715.
Mullan, Killian and Chatzitheochari, Stella (2019) Changing times together? A time diary analysis of family time in the digital age in the UK. Journal of Marriage and Family, 81 (4). pp. 795-811. doi:10.1111/jomf.12564 ISSN 0022-2445.
Mullett, Timothy L. and Stewart, Neil (2016) Implications of visual attention phenomena for models of preferential choice. Decision, 3 (4). pp. 231-253. doi:10.1037/dec0000049 ISSN 2325-9965.
Mundhenk, Philipp, Mrowca, Artur, Steinhorst, Sebastian, Lukasiewycz, Martin, Fahmy, Suhaib A. and Chakraborty, Samarjit (2016) Open source model and simulator for real-time performance analysis of automotive network security. ACM Sigbed Review, 13 (3). pp. 8-13. doi:10.1145/2983185.2983186 ISSN 1551-3688.
Mundhenk, Philipp, Paverd, Andrew, Mrowca, Artur, Steinhorst, Sebastian, Lukasiewycz, Martin, Fahmy, Suhaib A. and Chakraborty, Samarjit (2017) Security in automotive networks : lightweight authentication and authorization. ACM Transactions on Design Automation of Electronic Systems, 22 (2). 25. doi:10.1145/2960407 ISSN 1084-4309.
Munir, Farzeen, Gull, Sadaf, Asif, Amina and Minhas, Fayyaz ul Amir Afsar (2019) MILAMP : multiple instance prediction of amyloid proteins. IEEE/ACM Transactions on Computational Biology and Bioinformatics . p. 1. doi:10.1109/TCBB.2019.2936846 ISSN 1545-5963.
Muntean, C. H., Muntean, G. M., McManis, J. and Cristea, Alexandra I. (2007) Quality of experience-LAOS : create once, use many, use anywhere. International Journal of Learning Technology (IJLT), Volume 3 (Number 3). pp. 209-229. doi:10.1504/IJLT.2007.015442 ISSN 1477-8386.
Mutze, Torsten (2016) Proof of the middle levels conjecture. Proceedings of the London Mathematical Society, 112 (4). pp. 677-713. doi:10.1112/plms/pdw004 ISSN 0024-6115.
Mutze, Torsten and Nummenpalo, Jerri (2018) Efficient computation of middle levels Gray codes. ACM Transactions on Algorithms, 14 (2). 15. doi:10.1145/3170443
Mutze, Torsten and Nummenpalo, Jerri (2020) A constant-time algorithm for middle levels Gray codes. Algorithmica, 82 . pp. 1239-1258. doi:10.1007/s00453-019-00640-2 ISSN 0178-4617.
Mutze, Torsten, Nummenpalo, Jerri and Walczak, Bartosz (2021) Sparse Kneser graphs are Hamiltonian. Journal of the London Mathematical Society, 103 (4). pp. 1253-1275. doi:10.1112/jlms.12406 ISSN 0024-6107.
Mutze, Torsten and Su, Pascal (2017) Bipartite Kneser graphs are Hamiltonian. Combinatorica, 37 (6). pp. 1207-1219. doi:10.1007/s00493-016-3434-6 ISSN 0209-9683.
Müller, Markus, Rogers, C. (Caroline) and Nagarajan, Rajagopal (2009) Lossless quantum prefix compression for communication channels that are always open. Physical Review A (Atomic, Molecular and Optical Physics) , Volume 79 (Number 1). Article number 012302. doi:10.1103/PhysRevA.79.012302 ISSN 1050-2947.
Nadeem, Muhammad Shahroz, Kurugollu, Fatih, Saravi, Sara, Atlam, Hany F. and Franqueira, Virginia N. L. (2024) Deep labeller : automatic bounding box generation for synthetic violence detection datasets. Multimedia Tools and Applications, 83 . pp. 10717-10734. doi:10.1007/s11042-023-15621-5 ISSN 1380-7501.
Nandhakumar, Joe (2010) Contrarian information systems studies. European Journal of Information Systems, Vol.19 (No.6). pp. 687-688. doi:10.1057/ejis.2010.49 ISSN 0960-085X.
Narayanan, Priya Lakshami, Raza, Shan-e-Ahmed, Hall, Allison H., Marks, Jeffrey R. , King, Lorraine , West, Robert B. , Hernandez, Lucia , Guppy, Naomi , Dowsett, Mitch , Gusterson, Barry , Maley, Carlo , Hwang, E. Shelley and Yuan, Yinyin (2021) Unmasking the immune microecology of ductal carcinoma in situ with deep learning. npj Breast Cancer , 7 . 19. doi:10.1038/s41523-020-00205-5 ISSN 2374-4677.
Naylor, William, Debattista, Kurt and Chalmers, Alan (2023) Perception-based high quality distributed virtual reality. Virtual Reality, 27 . pp. 2529-2539. doi:10.1007/s10055-023-00825-9 ISSN 1359-4338.
Ni, Weiquan, Asheralieva, Alia, Kang, Jiawen, Xiong, Zehui, Maple, Carsten and Wei, Xuetao (2023) An enhanced block validation framework with efficient consensus for secure consortium blockchains. IEEE Transactions on Services Computing . doi:10.1109/tsc.2023.3343839 ISSN 1939-1374. (In Press)
Niebert, Peter and Peled, Doron (2009) Efficient model checking for LTL with partial order snapshots. Theoretical Computer Science, Vol.410 (No.42). pp. 4180-4189. doi:10.1016/j.tcs.2009.03.002 ISSN 0304-3975.
Niri, Mona Faraji, Apachitei, Geanina, Lain, Michael J., Copley, Mark and Marco, James (2022) Machine learning for investigating the relative importance of electrodes’ N:P areal capacity ratio in the manufacturing of lithium-ion battery cells. Journal of Power Sources, 549 . 232124. doi:10.1016/j.jpowsour.2022.232124 ISSN 0378-7753.
Noel, Adam, Monabbati, Shayan, Makrakis, Dimitrios and Eckford, Andrew W. (2019) Modeling interference-free neuron spikes with optogenetic stimulation. IEEE Transactions on Molecular, Biological, and Multi-Scale Communications, 5 (2). pp. 100-111. doi:10.1109/TMBMC.2020.2981655 ISSN 2332-7804.
Norwood, A. J., Dickens, P. M., Soar, R. C., Harris, R., Gibbons, Gregory John and Hansell, R. (2004) Analysis of cooling channels performance. International Journal of Computer Integrated Manufacturing , 17 (8). pp. 669-678. doi:10.1080/0951192042000237528 ISSN 0951-192X.
Notman, Rebecca, Oren, E. Emre, Tamerler, Candan, Sarikaya, Mehmet, Samudrala, Ram and Walsh, Tiffany R. (2010) Solution study of engineered quartz binding peptides using replica exchange molecular dynamics. Biomacromolecules, Vol.11 (No.12). pp. 3266-3274. doi:10.1021/bm100646z ISSN 1525-7797.
Noufaily, Angela, Morbey, Roger A., Colón-González, Felipe J., Elliot, Alex J., Smith, Gillian E., Lake, Iain R. and McCarthy, Noel D. (2019) Comparison of statistical algorithms for daily syndromic surveillance aberration detection. Bioinformatics, 35 (17). pp. 3110-3118. doi:10.1093/bioinformatics/bty997 ISSN 1367-4803.
Nudd, G. R. and Jarvis, Stephen A. (2005) Performance-based middleware for Grid computing. Concurrency and Computation: Practice and Experience, Volume 17 (Number 2-4). pp. 215-234. doi:10.1002/cpe.925 ISSN 1532-0626.
Nunes, Ingrid, Taylor, Phillip M., Barakat, Lina, Griffiths, Nathan and Miles, Simon (2019) Explaining reputation assessments. International Journal of Human-Computer Studies, 123 . pp. 1-17. doi:10.1016/j.ijhcs.2018.10.007 ISSN 1071-5819.
Nurse, Jason R. C. and Sinclair, Jane (2009) Securing e-Businesses that use web services - a guided tour through BOF4WSS. International Journal on Advances in Internet Technology, Volume 2 (Number 4). pp. 253-276. ISSN 1942-2652.
Nurse, Jason R. C. and Sinclair, Jane (2010) An evaluation of BOF4WSS and the security negotiations model and tool used to support it. International Journal on Advances in Security, Volume 3 (Number 3-4). pp. 184-201. ISSN 1942-2636.
Oakley, Brian B., Dowd, Scot E. and Purdy, Kevin J. (2011) ThermoPhyl : a software tool for selecting phylogenetically optimized conventional and quantitative-PCR taxon-targeted assays for use with complex samples. FEMS Microbiology Ecology, Vol.77 (No.1). pp. 17-27. doi:10.1111/j.1574-6941.2011.01079.x ISSN 1574-6941.
Ogston, Elth and Jarvis, Stephen A. (2009) Peer sampling with improved accuracy. Peer-to-Peer Networking and Application, Vol.2 (No.1 Sp. Iss. SI). pp. 24-36. doi:10.1007/s12083-008-0017-3 ISSN 1936-6442.
Ogunleye, Bayode, Maswera, Tonderai, Hirsch, Laurence, Gaudoin, Jotham and Brunsdon, Teresa (2023) Comparison of topic modelling approaches in the banking context. Applied Sciences, 13 (2). 797. doi:10.3390/app13020797 ISSN 2076-3417.
Okamoto, Toshio and Cristea, Alexandra I. (2002) RAPSODY : a self/collaborative-learning internet multimedia based teacher training distance support model. International Journal of Computers and Applications, Volume 24 (Number 2). pp. 52-57. ISSN 1206-212X.
Okamoto, Toshio and Cristea, Alexandra I. (2001) A distance ecological model for individual and collaborative-learning support. Educational Technology and Society, Volume 4 (Number 2). pp. 79-90. ISSN 1436-4522.
Okamoto, Toshio, Cristea, Alexandra I. and Kayama, Mizue (2001) Future integrated learning environments with multimedia. Journal of Computer Assisted Learning, Volume 17 (Number 1). pp. 4-12. doi:10.1046/j.1365-2729.2001.00154.x ISSN 0266-4909.
Okamoto, Toshio, Kayama, Mizue, Inoue, H. and Cristea, Alexandra I. (2002) The integrated e-learning system-RAPSODY based on distance ecology model and its practice. Educational Technology and Society, Volume 5 (Number 4). pp. 124-136. ISSN 1436-4522.
Oliveira, Fernando (2008) A constraint logic programming algorithm for modeling dynamic pricing. INFORMS Journal on Computing, Vol.20 (No.1). pp. 69-77. doi:10.1287/ijoc.1060.0218 ISSN 1091-9856.
Oliveira, Luis, Mitchell, Val and May, Andrew (2020) Smart home technology—comparing householder expectations at the point of installation with experiences 1 year later. Personal and Ubiquitous Computing, 24 . pp. 613-626. doi:10.1007/s00779-019-01302-4 ISSN 1617-4909.
Olivola, Christopher Yves, Moat, Helen Susannah and Preis, Tobias (2019) Using big data to map the relationship between time perspectives and economic outputs. Behavioral and Brain Sciences, 42 . e206. doi:10.1017/S0140525X19000244 ISSN 0140-525X.
Olschewski, Sebastian, Sirotkin, Pavel and Rieskamp, Jörg (2021) Empirical underidentification in estimating random utility models : the role of choice sets and standardizations. British Journal of Mathematical and Statistical Psychology . doi:10.1111/bmsp.12256 ISSN 0007-1102.
Omena, J. J., Cano-Orón, L., Gobbo, B. and Flores, A. M. (2022) What are data sprints for? Dígitos. Revista de Comunicación Digital, 8 . pp. 9-30. doi:10.7203/drdcd.v1i8.253 ISSN 2444-0132.
Onat, Berk, Ortner, Christoph and Kermode, James R. (2020) Sensitivity and dimensionality of atomic environment representations used for machine learning interatomic potentials. The Journal of Chemical Physics, 153 (14). 144106. doi:10.1063/5.0016005 ISSN 0021-9606.
Orchard, Dominic, Gover, Jonathan, Herrington, Lee Lewis, Lohr, James, Stead, Duncan, Young, Cathy and Kalvala, Sara (2009) µCell - interdisciplinary research in modelling and simulation of cell spatial behaviour. Reinvention: a journal of undergraduate research, Vol.2 (No.1). ISSN 1755-7429.
Orrego-Carmona, David (2022) Machine translation in everyone’s hands - adoption and changes among general users of MT. Tradumàtica: tecnologies de la traducció (20). pp. 322-339. doi:10.5565/rev/tradumatica.324 ISSN 1578-7559.
Osbri, Ilan, Newell, Susan and Pan, Shan-Ling (2007) Implementing component reuse strategy in complex products environments. Communications of the ACM, 50 (12). pp. 63-67. doi:10.1145/1323688.1323696 ISSN 0001-0782.
Oshri, Ilan, Kotlarsky, Julia and Hirsch, Corey (2007) Information security in networkable Windows-based operating system devices: challenges and solutions. Computers & Security, Vol.26 (No.2). pp. 177-182. doi:10.1016/j.cose.2006.09.002 ISSN 0167-4048.
Oshri, Ilan, Kotlarsky, Julia and Willcocks, Leslie (2008) Missing links : social ties for global collaborative teamwork. Communications of the ACM, Volume 51 (Number 4). pp. 76-81. doi:10.1145/1330311.1330327 ISSN 0001-0782.
Oshri, Ilan, Kotlarsky, Julia and Willcocks, Leslie P. (2007) Global software development: exploring socialization and face-to-face meetings in distributed strategic projects. Journal of Strategic Information Systems, Vol.16 (No.1). pp. 25-49. doi:10.1016/j.jsis.2007.01.001 ISSN 0963-8687.
Oswald, Andrew J. (2010) A suggested method for the measurement of world-leading research (illustrated with data on economics). Scientometrics, Vol.84 (No.1). pp. 99-113. doi:10.1007/s11192-009-0087-x ISSN 0138-9130.
Owenson, A. M. B, Wright, S. A., Bunt, R. A., Ho, Y. K., Street, M. J. and Jarvis, S. A. (2020) An unstructured CFD mini-application for the performance prediction of a production CFD code. Concurrency and Computation: Practice and Experience, 32 (10). e5443. doi:10.1002/cpe.5443 ISSN 1532-0626.
Paenke, Ingo, Jin, Yaochu and Branke, Jürgen (2009) Balancing population- and individual-level adaptation in changing environments. Adaptive Behaviour, Vol.17 (No.2). pp. 153-174. doi:10.1177/1059712309103566 ISSN 1059-7123.
Pan, Shan-Ling , Newell, Susan, Huang, Jimmy C. and Galliers, Robert (2007) Overcoming knowledge management challenges during ERP implementation: the need to integrate and share different types of knowledge. Journal of the American Society for Information Science and Technology, Vol.58 (No.3). pp. 404-419. doi:10.1002/asi.20523 ISSN 1532-2882.
Pan, Zhibin, Tang, Jin, Tjahjadi, Tardi, Wu, Zhihu and Xiao, Xiaoming (2020) A novel rapid method for viewshed computation on DEM through max-pooling and min-expected height. ISPRS International Journal of Geo-Information, 9 (11). 633. doi:10.3390/ijgi9110633 ISSN 2220-9964.
Pang, Xiaowei, Gui, Guan, Zhao, Nan, Zhang, Weile, Chen, Yunfei, Ding, Zhiguo and Adachi, Fumiyuki (2020) Uplink precoding optimization for NOMA cellular-connected UAV networks. IEEE Transactions on Communications, 68 (2). pp. 1271-1283. doi:10.1109/TCOMM.2019.2954136 ISSN 0090-6778.
Papadopoulos, Stavros, Cormode, Graham, Deligiannakis, Antonis and Garofalakis, Minos (2014) Lightweight query authentication on streams. ACM Transactions on Database Systems, Volume 39 (Number 4). Article number 30. doi:10.1145/2656336 ISSN 0362-5915.
Park, Heechan, Martin, Graham R. and Bhalerao, Abhir (2010) Local affine image matching and synthesis based on structural patterns. IEEE Transactions on Image Processing, Volume 19 (Number 8). pp. 1968-1977. doi:10.1109/TIP.2010.2045704 ISSN 1057-7149.
Park, Heechan, Martin, Graham R. and Bhalerao, Abhir (2010) An affine symmetric image model and its applications. IEEE Transactions on Image Processing, Volume 19 (Number 7). pp. 1695-1705. doi:10.1109/TIP.2010.2045692 ISSN 1057-7149.
Parsons, Nicholas R., Costa, Matthew L., Achten, Juul and Stallard, Nigel (2009) Repeated measures proportional odds logistic regression analysis of ordinal score data in the statistical software package R. Computational Statistics & Data Analysis, Vol.53 (No.3). pp. 632-641. doi:10.1016/j.csda.2008.08.004 ISSN 0167-9473.
Patterson, D., Anand, Sarabjot Singh, Dubitzky, W. and Hughes, J. G. (1999) Towards automated case knowledge discovery in the M2 case-based reasoning system. Knowledge and Information Systems, Volume 1 (Number 1). pp. 61-82. ISSN 0219-1377.
Pavliotis, G. A., Stuart, A. M. and Zygalakis, K. C. (2009) Calculating effective diffusivities in the limit of vanishing molecular diffusion. Journal of Computational Physics, Vol.228 (No.4). pp. 1030-1055. doi:10.1016/j.jcp.2008.10.014 ISSN 0021-9991.
Pearce, Michael and Branke, Jürgen (2018) Continuous multi-task Bayesian optimisation with correlation. European Journal of Operational Research, 270 (3). pp. 1074-1085. doi:10.1016/j.ejor.2018.03.017 ISSN 0377-2217.
Peeters, A. G., Camenen, Y., Casson, F. J. (Francis James), Hornsby, W. A., Snodin, A. P., Strintzi, D. and Szepesi, Gabor (2009) The nonlinear gyro-kinetic flux tube code GKW. Computer Physics Communications, Vol.180 (No.12). pp. 2650-2672. doi:10.1016/j.cpc.2009.07.001 ISSN 0010-4655.
Peng, Fei, Guo, Re-Si, Li, Chang-Tsun and Long, Min (2010) A semi-fragile watermarking algorithm for authenticating 2D CAD engineering graphics based on log-polar transformation. Computer-Aided Design, Vol.42 (No.12). pp. 1207-1216. doi:10.1016/j.cad.2010.08.004 ISSN 0010-4485.
Peng, R., Li, Y. F., Zhang, Wenjuan and Hu, Q. P. (2014) Testing effort dependent software reliability model for imperfect debugging process considering both detection and correction. Reliability Engineering & System Safety, Volume 126 . pp. 37-43. doi:10.1016/j.ress.2014.01.004 ISSN 0951-8320.
Pennycook, Simon J., Hammond, Simon D., Mudalige, Gihan R., Wright, Steven A. and Jarvis, Stephen A. (2012) On the acceleration of wavefront applications using distributed many-core architectures. Computer Journal, Volume 55 (Number 2). pp. 138-153. doi:10.1093/comjnl/bxr073 ISSN 0010-4620.
Pennycook, Simon J., Hammond, Simon D., Wright, Steven A., Herdman, J. A., Miller, I. and Jarvis, Stephen A. (2013) An investigation of the performance portability of OpenCL. Journal of Parallel and Distributed Computing, Volume 73 (Number 11). pp. 1439-1450. doi:10.1016/j.jpdc.2012.07.005 ISSN 0743-7315.
Pentland, Kamran, Tamborrino, Massimiliano, Samaddar, Debasmita and Appel, Lynton C. (2022) Stochastic parareal : an application of probabilistic methods to time-parallelization. SIAM Journal on Scientific Computing . S82-S102. doi:10.1137/21m1414231 ISSN 1064-8275.
Perelló-March, Jaume R., Burns, Christopher G., Birrell, Stewart A., Woodman, Roger and Elliott, Mark T. (2022) Physiological measures of risk perception in highly automated driving. IEEE Transactions on Intelligent Transportation Systems, 23 (5). pp. 4811-4822. doi:10.1109/TITS.2022.3146793 ISSN 1524-9050.
Perera, Charith, Wakenshaw, Susan Y. L., Baarslag, Tim, Haddadi, Hamed, Bandara, Arosha, Mortier, Richard, Crabtree, Andy, Ng, Irene C. L., McAuley, Derek and Crowcroft, Jon (2017) Valorising the IoT databox : creating value for everyone. Transactions on Emerging Telecommunications Technologies, 28 (1). e3125. ISSN 2161-3915.
Perez Garcia-Plaza, Alberto, Fresno, Víctor, Martínez, Raquel and Zubiaga, Arkaitz (2017) Using fuzzy logic to leverage HTML markup for web page representation. IEEE Transactions on Fuzzy Systems, 25 (4). pp. 919-933. doi:10.1109/TFUZZ.2016.2586971 ISSN 1063-6706.
Perez-Daniel, Karina Ruby, Garcia-Ugalde, Francisco and Sanchez Silva, Victor (2020) Watermarking of HDR images in the spatial domain with HVS-imperceptibility. IEEE Access, 8 . pp. 156801-156817. doi:10.1109/ACCESS.2020.3019517 ISSN 2169-3536.
Pergola, Gabriele, Gui, Lin and He, Yulan (2019) TDAM: a topic-dependent attention model for sentiment analysis. Information Processing & Management, 56 (6). 102084. doi:10.1016/j.ipm.2019.102084 ISSN 0306-4573.
Perks, O. F. J., Beckingsale, David A., Hammond, Simon D., Miller, I., Herdman, J. A., Vadgama, A., Bhalerao, Abhir, He, Ligang and Jarvis, Stephen A. (2013) Towards automated memory model generation via event tracing. Computer Journal, Volume 56 (Number 2). pp. 156-174. doi:10.1093/comjnl/bxs051 ISSN 0010-4620.
Perks, O. F. J., Hammond, Simon D., Pennycook, Simon J. and Jarvis, Stephen A. (2011) Should we worry about memory loss? ACM SIGMETRICS Performance Evaluation Review, Volume 38 (Number 4). pp. 69-74. doi:10.1145/1964218.1964230 ISSN 0163-5999.
Pesce, Emanuele and Montana, Giovanni (2023) Learning multi-agent coordination through connectivity-driven communication. Machine Learning, 112 . pp. 483-514. doi:10.1007/s10994-022-06286-6 ISSN 2632-2153.
Petrou, Stavros and Gray, Alastair (2011) Economic evaluation using decision analytical modelling : design, conduct, analysis, and reporting. BMJ, Vol.342 . d1766. doi:10.1136/bmj.d1766 ISSN 0959-8138.
Petrou, Stavros, Rivero-Arias, Oliver, Dakin, Helen, Longworth, Louise, Oppe, Mark, Froud, Robert J. and Gray, Alastair (2015) Preferred reporting items for studies mapping onto preference-based outcome measures : the MAPS statement. Quality of Life Research, 25 (2). pp. 275-281. doi:10.1007/s11136-015-1082-8 ISSN 0962-9343.
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2016) Efficient integer frequency offset estimation architecture for enhanced OFDM synchronization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24 (4). pp. 1412-1420. doi:10.1109/TVLSI.2015.2453207 ISSN 1063-8210.
Pietukhov, Rostyslav, Ahtamad, Mujthaba, Faraji Niri, Mona and El-said, Tarek (2023) A hybrid forecasting model with logistic regression and neural networks for improving key performance indicators in supply chains. Supply Chain Analytics, 4 . 100041. doi:10.1016/j.sca.2023.100041
Piho, Laura and Tjahjadi, Tardi (2020) A mutual information based adaptive windowing of informative EEG for emotion recognition. IEEE Transactions on Affective Computing, 11 (4). pp. 722-735. doi:10.1109/TAFFC.2018.2840973 ISSN 1939-1374.
Pilcher, Nick and Cortazzi, M. (2016) Dialogues : QUANT Researchers on QUAL Methods. The Qualitative Report, 21 (3). pp. 450-473. ISSN 1052-0147.
Pilz da Cunha, Marina, Kandail, Harkamaljot S., den Toonder, Jaap M. J. and Schenning, Albert P. H. J. (2020) An artificial aquatic polyp that wirelessly attracts, grasps, and releases objects. Proceedings of the National Academy of Sciences of the United States of America, 117 (30). pp. 17571-17577. doi:10.1073/pnas.2004748117 ISSN 0027-8424.
Piombo, Gabriele, Fasolato, Simone, Heymer, Robert, Hidalgo, Marc, Faraji Niri, Mona, Onori, Simona and Marco, James (2024) Unveiling the performance impact of module level features on parallel-connected lithium-ion cells via explainable machine learning techniques on a full factorial design of experiments. Journal of Energy Storage, 84 (Part A). 110783. doi:10.1016/j.est.2024.110783 ISSN 2352-152X.
Player, Caroline and Griffiths, Nathan (2020) Improving trust and reputation assessment with dynamic behaviour. The Knowledge Engineering Review, 35 . e29. doi:10.1017/S0269888920000077 ISSN 0269-8889.
Plummer, Martyn (2023) Simulation-based Bayesian analysis. Annual Review of Statistics and Its Application, 10 (1). pp. 401-425. doi:10.1146/annurev-statistics-122121-040905 ISSN 2326-831X.
Polisena, Julie, Andellini, Martina, Salerno, Piergiorgio, Borsci, Simone, Pecchia, Leandro and Iadanza, Ernesto (2021) Case studies on the use of sentiment analysis to assess the effectiveness and safety of health technologies : a scoping review. IEEE Access, 9 . pp. 66043-66051. doi:10.1109/ACCESS.2021.3076356 ISSN 2169-3536.
Popham, T. J., Bhalerao, Abhir and Wilson, Roland (2014) Estimating scene flow using an interconnected patch surface model with belief-propagation inference. Computer Vision and Image Understanding, Volume 121 . pp. 74-85. doi:10.1016/j.cviu.2014.01.001 ISSN 1077-3142.
Porto de Albuquerque, Joao, Anderson, Liana, Calvillo, Nerea, Coaffee, Jon, Cunha, Maria Alexandra, Degrossi, Livia Castro, Dolif Neto, Giovanni, Horita, Flavio, Klonner, Carolin, Lima-Silva, Fernanda, Marchezini, Victor, Martins, Mario Henrique da Mata, Pajarito-Grajales, Diego, Pitidis, Vangelis, Rudorff, Conrado, Tkacz, Nathaniel, Traijber, Rachel and Zipf, Alexander (2021) The role of data in transformations to sustainability : a critical research agenda. Current Opinion in Environmental Sustainability, 49 . pp. 153-163. doi:10.1016/j.cosust.2021.06.009 ISSN 1877-3435.
Porto de Albuquerque, João, Anderson, Liana, Calvillo, Nerea, Cattino, Massimo, Clarke, Andrew, Cunha, Maria Alexandra, Garde-Hansen, Joanne, Klonner, Carolin, Lima-Silva, Fernanda, Marchezini, Victor, Martins, Mario Henrique da Mata, Pajarito Grajales, Diego, Pitidis, Vangelis, Rizwan, Mohammed, Tkacz, Nathaniel and Trajber, Rachel (2023) Dialogic data innovations for sustainability transformations and flood resilience : the case for waterproofing data. Global Environmental Change, 82 . 102730. doi:10.1016/j.gloenvcha.2023.102730 ISSN 0959-3780.
Porumb, Mihaela, Iadanza, Ernesto, Massaro, Sebastiano and Pecchia, Leandro (2020) A convolutional neural network approach to detect congestive heart failure. Biomedical Signal Processing and Control, 55 . 101597. doi:10.1016/j.bspc.2019.101597 ISSN 1746-8094.
Poschen, Meik, Finch, J. (June), Procter, Rob, Goff, Mhorag, McDerby, Mary, Collins, S. (Simon), Besson, Jon, Beard, L. (Lorraine) and Grahame, T. (Tom) (2012) Development of a pilot data management infrastructure for biomedical researchers at University of Manchester – approach, findings, challenges and outlook of the MaDAM Project. International Journal of Digital Curation, Vol.7 (No.2). pp. 110-122. doi:10.2218/ijdc.v7i2.234 ISSN 1746-8256.
Prangnell, Lee (2018) Visually lossless coding in HEVC : a high bit depth and 4:4:4 capable JND-based perceptual quantisation technique for HEVC. Signal Processing: Image Communication, 63 . pp. 125-140. doi:10.1016/j.image.2018.02.007 ISSN 0923-5965.
Preis, Tobias and Moat, Helen Susannah (2014) Adaptive nowcasting of influenza outbreaks using Google searches. Royal Society Open Science , Volume 1 (Number 2). Article number 140095. doi:10.1098/rsos.140095 ISSN 2054-5703.
Preis, Tobias, Moat, Helen Susannah, Bishop, Steven R., Treleaven, Philip and Stanley, H. Eugene (2013) Quantifying the digital traces of Hurricane Sandy on Flickr. Scientific Reports, Volume 3 . Article: 3141. doi:10.1038/srep03141 ISSN 2045-2322.
Procter, Rob, Tolmie, Peter and Rouncefield, Mark (2023) Holding AI to account : challenges for the delivery of trustworthy AI in healthcare. ACM Transactions on Computer-Human-Interaction, 30 (2). pp. 1-34. doi:10.1145/3577009 ISSN 1073-0516.
Procter, Rob, Voss, Alex and Lvov, Ilia (2015) Audience research and social media data : opportunities and challenges. Participations : journal of audience and reception studies, 12 (1). pp. 470-493. ISSN 1749-8716.
Qi, W., Procter, Rob, Zhang, J. and Guo, W. (2019) Mapping consumer sentiment toward wireless services using geospatial twitter data. IEEE Access, 7 . pp. 113726-113739. doi:10.1109/ACCESS.2019.2935200 ISSN 2169-3536.
Qiang, Zhang, Li, Guanghe, Cao, Yunfeng and Han, Jungong (2020) Multi-focus image fusion based on non-negative sparse representation and patch-level consistency rectification. Pattern Recognition, 104 . 107325. doi:10.1016/j.patcog.2020.107325 ISSN 0031-3203.
Qin, Min, Chen, Li, Zhao, Nan, Chen, Yunfei, Yu, F. Richard and Wei, Guo (2020) Computing and relaying : utilizing mobile edge computing for P2P communications. IEEE Transactions on Vehicular Technology, 69 (2). pp. 1582-1594. doi:10.1109/TVT.2019.2956996 ISSN 0018-9545.
Qureshi, S. A., Mirza, S. M., Rajpoot, Nasir M. (Nasir Mahmood) and Arif, Muhammad (2011) Hybrid diversification operator-based evolutionary approach towards tomographic image reconstruction. IEEE Transactions on Image Processing, Vol.20 (No.7). pp. 1977-1990. doi:10.1109/TIP.2011.2107328 ISSN 1057-7149.
Radanliev, Petar, De Roure, David, Maple, Carsten and Ani, Uchenna (2022) Super-forecasting the ‘technological singularity’ risks from artificial intelligence. Evolving Systems, 13 . 747-757 . doi:10.1007/s12530-022-09431-7 ISSN 1868-6478.
Radanliev, Petar, De Roure, David, Page, Kevin, Van Kleek, Max, Santos, Omar, Maddox, La’Treall, Burnap, Peter, Anthi, Eirini and Maple, Carsten (2020) Design of a dynamic and self-adapting system, supported with artificial intelligence, machine learning and real-time intelligence for predictive cyber risk analytics in extreme environments – cyber risk in the colonisation of Mars. Safety in Extreme Environments, 2 . pp. 219-230. doi:10.1007/s42797-021-00025-1 ISSN 2524-8170.
Radanliev, Petar, De Roure, David C., Nurse, Jason R. C., Mantilla Montalvo, Rafael, Cannady, Stacy, Santos, Omar, Maddox, La’Treall, Burnap, Peter and Maple, Carsten (2020) Future developments in standardisation of cyber risk in the Internet of Things (IoT). SN Applied Sciences, 2 (2). doi:10.1007/s42452-019-1931-0 ISSN 2523-3963.
Rajpoot, Nasir M., Wilson, Roland, Meyer, F. G. and Coifman, R. R. (2004) Adaptive wavelet packet basis selection for zerotree image coding. IEEE Transactions on Image Processing, Volume 12 (Number 12). pp. 1460-1472. doi:10.1109/TIP.2003.818115 ISSN 1057-7149.
Rajpoot, Nasir M. (Nasir Mahmood) and Arif, Muhammad (2008) Unsupervised shape clustering using diffusion map. Annals of the BMVA, Volume 2008 (Number 5).
Ramalingam, Soodamani, Gan, Hock, Epiphaniou, Gregory and Mistretta, Emilio (2020) A holistic systems security approach featuring thin secure elements for resilient IoT deployments. Sensors, 20 (18). 5252. doi:10.3390/s20185252 ISSN 1424-8220.
Ramchurn, Sarvapali D., Mezzetti, Claudio, Giovannucci, Andrea, Rodriguez-Aguilar, Juan A., Dash, Rajdeep K. and Jennings, Nicholas R. (2009) Trust-based mechanisms for robust and efficient task allocation in the presence of execution uncertainty. Journal of Artificial Intelligence Research, Vol.35 . pp. 119-159. doi:10.1613/jair.2751 ISSN 1076-9757.
Ramis Ferrer, Borja, Mohammed, Wael M., Ahmad, Mussawar, Iarovyi, Sergii, Zhang, Jiayi, Harrison, Robert and Martinez Lastra, Jose Luis (2021) Comparing ontologies and databases : a critical review of lifecycle engineering models in manufacturing. Knowledge and Information Systems, 63 (6). pp. 1271-1304. doi:10.1007/s10115-021-01558-4 ISSN 0219-1377.
Rana, Anirudh Singh, Lockerby, Duncan A. and Sprittles, James E. (2019) Lifetime of a nanodroplet : kinetic effects and regime transitions. Physical Review Letters, 123 . 154501. doi:10.1103/PhysRevLett.123.154501 ISSN 0031-9007.
Rashvand, Habib F., Salah, K., Calero, J. M. A. and Harn, L. (2010) Distributed security for multi-agent systems – review and applications. IET Information Security, Volume 4 (Number 4). pp. 188-201. doi:10.1049/iet-ifs.2010.0041 ISSN 1751-8709.
Raza, Shan-e-Ahmed, Langenkämper, Daniel, Sirinukunwattana, Korsuk, Epstein, D. B. A., Nattkemper, Tim W. and Rajpoot, Nasir M. (2016) Robust normalization protocols for multiplexed fluorescence bioimage analysis. BioData Mining, 9 (11). pp. 1-13. doi:10.1186/s13040-016-0088-2 ISSN 1756-0381 .
Raza, Shan-e-Ahmed, Sanchez Silva, Victor, Prince, Gillian, Clarkson, John P. and Rajpoot, Nasir M. (2015) Registration of thermal and visible light images of diseased plants using silhouette extraction in the wavelet domain. Pattern Recognition, Volume 48 (Number 7). pp. 2119-2128. doi:10.1016/j.patcog.2015.01.027 ISSN 0031-3203.
Reed, J. N., Roscoe, A. W. and Sinclair, Jane (2007) Responsiveness and stable revivals. Formal Aspects of Computing, Vol.19 (No.3). pp. 303-319. doi:10.1007/s00165-007-0032-9 ISSN 0934-5043.
Reguly, Istvan Z. and Mudalige, Gihan R. (2020) Productivity, performance, and portability for computational fluid dynamics applications. Computers & Fluids, 199 . 104425. doi:10.1016/j.compfluid.2020.104425 ISSN 0045-7930.
Reguly, Istvan Z., Mudalige, Gihan R., Bertolli, Carlo, Giles, Michael B., Betts, Adam, Kelly, Paul H. J. and Radford, David (2016) Acceleration of a full-scale industrial CFD application with OP2. IEEE Transactions on Parallel and Distributed Systems, 27 (5). pp. 1265-1278. doi:10.1109/TPDS.2015.2453972 ISSN 1045-9219.
Reguly, Istvan Z., Mudalige, Gihan R., Giles, Mike B. and Maheswaran, Satheesh (2019) Improving resilience of scientific software through a domain-specific approach. Journal of Parallel and Distributed Computing, 128 . pp. 99-114. doi:10.1016/j.jpdc.2019.01.015 ISSN 0743-7315.
Reguly, Istvan Zoltan, Mudalige, Gihan R. and Giles, Mike (2018) Loop tiling in large-scale stencil codes at run-time with OPS. IEEE Transactions on Parallel and Distributed Systems, 29 (4). pp. 873-886. doi:10.1109/TPDS.2017.2778161 ISSN 1045-9219.
Reimers, Stian and Stewart, Neil (2007) Adobe Flash as a medium for online experimentation: a test of reaction time measurement capabilities. Behavior Research Methods, Vol.39 (No.3). pp. 365-370. doi:10.3758/BF03193004 ISSN 1554-351X.
Reimers, Stian and Stewart, Neil (2016) Auditory presentation and synchronization in Adobe Flash and HTML5/JavaScript web experiments. Behaviour Research Methods, 48 (3). pp. 897-908. doi:10.3758/s13428-016-0758-5 ISSN 1554-351X.
Reimers, Stian and Stewart, Neil (2015) Presentation and response timing accuracy in Adobe Flash and HTML5/JavaScript web experiments. Behavior Research Methods, 47 (2). pp. 309-327. doi:10.3758/s13428-014-0471-1 ISSN 1554-351X.
Reimers, Stian and Stewart, Neil (2008) Using Adobe Flash Lite on mobile phones for psychological research: reaction time measurement reliability and inter-device variability. Behavior Research Methods, Vol.40 (No.4). pp. 1170-1176. doi:10.3758/BRM.40.4.1170 ISSN 1554-351X.
Ren, Shenyuan, He, Ligang, Zhu, Huanzhou, Gu, Zhuoer, Song, Wei and Shang, Jiandong (2017) Developing power‐aware scheduling mechanisms for computing systems virtualized by Xen. Concurrency and Computation: Practice and Experience, 29 (3). e3888. doi:10.1002/cpe.3888 ISSN 1532-0626.
Reyes-Aldasoro, Constantino Carlos and Bhalerao, Abhir (2006) The Bhattacharyya space for feature selection and its application to texture segmentation. Pattern Recognition, Volume 39 (Number 5). pp. 812-826. doi:10.1016/j.patcog.2005.12.003 ISSN 0031-3203.
Richardson, Magnus J. E. (2008) Spike-train spectra and network response functions for non-linear integrate-and-fire neurons. Biological Cybernetics, Volume 99 (Numbers 4-5). pp. 381-392. doi:10.1007/s00422-008-0244-y ISSN 0340-1200.
Rizk, Amr, Poloczek, Felix and Ciucu, Florin (2015) Computable bounds in fork-join queueing systems. ACM SIGMETRICS Performance Evaluation Review, Volume 43 (Number 1). pp. 335-346. doi:10.1145/2796314.2745859 ISSN 0163-5999.
Rizk, Amr , Poloczek, Felix and Ciucu, Florin (2016) Stochastic bounds in fork-join queueing systems under full and partial mapping. Queueing Systems, 83 (3). pp. 261-291. doi:10.1007/s11134-016-9486-x ISSN 0257-0130.
Robbins, Tim, Lim Choi Keung, Sarah Niukyun, Sankaranarayanan, Sailesh, Randeva, Harpal S. and Arvanitis, Theodoros N. (2020) Application of standardised effect sizes to hospital discharge outcomes for people with diabetes. BMC Medical Informatics and Decision Making, 20 . 150. doi:10.1186/s12911-020-01169-z ISSN 1472-6947.
Robbins, Tim, Lim Choi Keung, Sarah Niukyun, Sankaranarayanan, Sailesh, Randeva, Harpal S. and Arvanitis, Theodoros N. (2018) Diabetes and the direct secondary use of electronic health records : using routinely collected and stored data to drive research and understanding. Digital Health, 4 . doi:10.1177/2055207618804650 ISSN 2055-2076.
Robbins, Tim, Sankaranarayanan, Sailesh, Randeva, Harpal S., Lim Choi Keung, Sarah N. and Arvanitis, Theodoros N. (2021) Impact of socioeconomic geography on outcomes following hospital discharge for people with diabetes. The British Journal of Diabetes, 21 (2). pp. 205-209. doi:10.15277/bjd.2021.305 ISSN 2397-6241.
Robbins, Tim, Sankaranarayanan, Sailesh, Randeva, Harpal S., Lim Choi Keung, Sarah Niukyun and Arvanitis, Theodoros N. (2021) Association between glycosylated haemoglobin and outcomes for patients discharged from hospital with diabetes : a health informatics approach. Digital Health, 7 . pp. 1-10. doi:10.1177/20552076211007661 ISSN 2055-2076.
Roberts, Stephen I., Wright, Steven A., Fahmy, Suhaib A. and Jarvis, Stephen A. (2019) The power-optimised software envelope. ACM Transactions on Architecture and Code Optimization (TACO), 16 (3). 21. doi:10.1145/3321551 ISSN 1544-3566.
Robertson, Christopher and Habershon, Scott (2019) Fast screening of homogeneous catalysis mechanisms using graph-driven searches and approximate quantum chemistry. Catalysis Science & Technology, 9 (22). pp. 6357-6369. doi:10.1039/c9cy01997a ISSN 2044-4761.
Robinson, Leigh and Rolls, Edmund T. (2015) Invariant visual object recognition : biologically plausible approaches. Biological Cybernetics, 109 (4-5). pp. 505-535. 658. doi:10.1007/s00422-015-0658-2 ISSN 0340-1200.
Robinson, Stewart and Brooks, Roger J. (2010) Independent verification and validation of an industrial simulation model. Simulation : Transactions of the Society for Modeling and Simulation International, Vol.86 (No.7). pp. 405-416. doi:10.1177/0037549709341582 ISSN 0037-5497.
Rogers, C. (Caroline) and Nagarajan, Rajagopal (2011) Lossless quantum data compression and quantum Kolmogorov complexity. International Journal of Quantum Information, Volume 9 (Number 2). pp. 637-650. doi:10.1142/S0219749911007848 ISSN 0219-7499.
Rogers, C. (Caroline), Vedral, Vlatko and Nagarajan, Rajagopal (2008) Second quantized Kolmogorov complexity. International Journal of Quantum Information, Volume 6 (Number 4). pp. 907-928. doi:10.1142/S021974990800375X ISSN 0219-7499.
Rognes, Torbjørn, Flouri, Tomáš, Nichols, Ben, Quince, Christopher and Mahé, Frédéric (2016) VSEARCH : a versatile open source tool for metagenomics. PeerJ, 4 . e2584. doi:10.7717/peerj.2584 ISSN 2167-8359.
Rolls, Edmund T. (2012) Invariant visual object and face recognition : neural and computational bases, and a model, VisNet. Frontiers in Computational Neuroscience, Volume 6 . Article number 35. doi:10.3389/fncom.2012.00035 ISSN 1662-5188.
Rolls, Edmund T. (2020) Neural computations underlying phenomenal consciousness : a higher order syntactic thought theory. Frontiers in Psychology, 11 . 655. doi:10.3389/fpsyg.2020.00655 ISSN 1664-1078.
Rolls, Edmund T. (2020) Spatial coordinate transforms linking the allocentric hippocampal and egocentric parietal primate brain systems for memory, action in space, and navigation. Hippocampus, 30 (4). pp. 332-353. doi:10.1002/hipo.23171 ISSN 1050-9631.
Rolls, Edmund T., Loh, Marco, Deco, Gustavo and Winterer, Georg (2008) Computational models of schizophrenia and dopamine modulation in the prefrontal cortex. Nature Reviews Neuroscience, Vol.9 (No.9). pp. 696-709. doi:10.1038/nrn2462 ISSN 1471-003X.
Rolls, Edmund T., McCabe, Ciara and Redoute, J. (2008) Expected value, reward outcome, and temporal difference error representations in a probabilistic decision task. Cerebral Cortex, Vol.18 (No.3). pp. 652-663. doi:10.1093/cercor/bhm097 ISSN 1047-3211.
Rolls, Edmund T. and Webb, Tristan J. (2014) Finding and recognizing objects in natural scenes : complementary computations in the dorsal and ventral visual systems. Frontiers in Computational Neuroscience, Volume 8 . Article number 85. doi:10.3389/fncom.2014.00085 ISSN 1662-5188.
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Mapping for maximum performance on FPGA DSP blocks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (4). pp. 573-585. doi:10.1109/TCAD.2015.2474363 ISSN 0278-0070.
Ronak, Bajaj and Fahmy, Suhaib A. (2017) Multipumping flexible DSP blocks for resource reduction on Xilinx FPGAs. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 36 (9). 1471 -1482. doi:10.1109/TCAD.2016.2629421 ISSN 0278-0070.
Ross, Joshua V., Taimre, T. and Pollett, P. K. (2007) Estimation for queues from queue length data. Queueing Systems, Vol.55 (No.2). pp. 131-138. doi:10.1007/s11134-006-9009-2 ISSN 0257-0130.
Rossoni, Enrico and Feng, Jianfeng (2007) Decoding spike train ensembles : tracking a moving stimulus. Biological Cybernetics, Volume 96 (Number 1). pp. 99-112. doi:10.1007/s00422-006-0106-4 ISSN 0340-1200.
Rossoni, Enrico, Kang, Jing, Ph.D. and Feng, Jianfeng (2010) Controlling precise movement with stochastic signals. Biological Cybernetics, Vol.102 (No.5). pp. 441-450. doi:10.1007/s00422-010-0377-7 ISSN 0340-1200.
Rostain, Marjolaine and Huising, Ruthanne (2023) Vicarious coding : breaching computational opacity in the digital era. Academy of Management Journal . doi:10.5465/amj.2021.0150 ISSN 0001-4273. (In Press)
Rowcliffe, Phill and Feng, Jianfeng (2008) Training spiking neuronal networks with applications in engineering tasks. IEEE Transactions on Neural Networks, Vol.19 (No.9). pp. 1626-1640. doi:10.1109/TNN.2008.2000999 ISSN 1045-9227.
Ruppertsberg, Alexa I., Bloj, Marina, Banterle, Francesco and Chalmers, Alan (2007) Displaying colourimetrically calibrated images on a high dynamic range display. Journal of Visual Communication and Image Representation, Vol.18 (No.5). pp. 429-438. doi:10.1016/j.jvcir.2007.06.007 ISSN 1047-3203.
Rutkowski, Michał, Ph.D., Lazić, Ranko and Jurdzinski, Marcin (2011) Average-price-per-reward games on hybrid automata with strong resets. International Journal on Software Tools for Technology Transfer, Vol.13 (No.6). pp. 553-569. doi:10.1007/s10009-010-0180-8 ISSN 1433-2779.
Rydow, Erik, Borgo, Rita, Fang, Hui, Torsney-Weir, Thomas, Swallow, Ben, Porphyre, Thibaud, Turkay, Cagatay and Chen, Min (2023) Development and evaluation of two approaches of visual sensitivity analysis to support epidemiological modeling. IEEE Transactions on Visualization and Computer Graphics, 29 (1). pp. 1255-1265. doi:10.1109/tvcg.2022.3209464 ISSN 1077-2626.
Rößling, G., Korhonen, Ari, Oechsle, R., Velázquez-Iturbide, J. Á., Joy, Mike, Moreno, Andrés, Radenski, A., Malmi, L., Kerren, A., Naps, T., Ross, R. J. and Clancy, M. (2008) Enhancing learning management systems to better support Computer Science education. ACM SIGCSE Bulletin, Volume 40 (Number 4). pp. 142-166. doi:10.1145/1473195.1473239 ISSN 0097-8418.
Saeed, Ammar, Khan, Hikmat Ullah, Shankar, Achyut, Imran, Talha, Khan, Danish, Kamran, Muhammad and Khan, Muhammad Attique (2023) Topic Modeling based text classification regarding Islamophobia using Word Embedding and Transformers Techniques. ACM Transactions on Asian and Low-Resource Language Information Processing . doi:10.1145/3626318 ISSN 2375-4702.
Saeed, Fahad, Mehmood, Amjad, Faran Majeed, Muhammad , Maple, Carsten, Saeed, Khalid, Kashif Khattak, Muhammad , Wang, Huihui and Epiphaniou, Gregory (2021) Smart delivery and retrieval of swab collection kit for COVID-19 test using autonomous Unmanned Aerial Vehicles. Physical Communication, 4 . 101373. doi:10.1016/j.phycom.2021.101373 ISSN 1874-4907.
Saginbekov, Sain and Jhumka, Arshad (2014) Efficient code dissemination in wireless sensor networks. Future Generation Computer Systems, Volume 39 . pp. 111-119. doi:10.1016/j.future.2013.12.008 ISSN 0167-739X.
Saginbekov, Sain and Jhumka, Arshad (2017) Many-to-many data aggregation scheduling in wireless sensor networks with two sinks. Computer Networks, 123 . pp. 184-199. doi:10.1016/j.comnet.2017.05.022 ISSN 1389-1286.
Saginbekov, Sain and Jhumka, Arshad (2014) Towards efficient stabilizing code dissemination in wireless sensor networks. The Computer Journal, Volume 57 (Number 12). pp. 1790-1816. doi:10.1093/comjnl/bxt110 ISSN 0010-4620.
Saif, Hassan, He, Yulan, Fernandez, Miriam and Alani, Harith (2016) Contextual semantics for sentiment analysis of Twitter. Information Processing & Management, 52 (1). pp. 5-19. doi:10.1016/j.ipm.2015.01.005 ISSN 0306-4573.
Sajid, Faiqa, Hassan, Muhammad Abul, Khan, Ayaz Ali, Rizwan, Muhammad, Kryvinska, Natalia, Vincent, Karovič, Khan, Inam Ullah and Zakarya, Muhammad (2022) Secure and efficient data storage operations by using intelligent classification technique and RSA algorithm in IoT-based cloud computing. Scientific Programming, 2022 . 2195646. doi:10.1155/2022/2195646 ISSN 1058-9244.
Samanta, Atanu and Guha, Tanaya (2021) Emotion sensing from head motion capture. IEEE Sensors Journal, 21 (4). pp. 5035-5043. doi:10.1109/JSEN.2020.3033431 ISSN 1530-437X.
Sanborn, Adam N. and Silva, Ricardo (2013) Constraining bridges between levels of analysis : a computational justification for locally Bayesian learning. Journal of Mathematical Psychology, Volume 57 (Number 3-4). pp. 94-106. doi:10.1016/j.jmp.2013.05.002 ISSN 0022-2496.
Sanchez Silva, Victor (2021) Rate control for predictive transform screen content video coding based on RANSAC. IEEE Transactions on Circuits and Systems for Video Technology, 31 (11). pp. 4422-4438. doi:10.1109/TCSVT.2020.3047296 ISSN 1051-8215.
Sanchez-Montanes, Manuel A., Gardner, J. W. and Pearce, T. C. (2008) Spatio-temporal information in an artificial olfactory mucosa. Royal Society of London. Proceedings A. Mathematical, Physical and Engineering Sciences, Vol.464 (No.2092). pp. 1057-1077. doi:10.1098/rspa.2007.0140 ISSN 1364-5021.
Satilmis, Pinar, Bashford-Rogers, Thomas, Chalmers, Alan and Debattista, Kurt (2017) A machine learning driven sky model. IEEE Computer Graphics and Applications, 37 (1). pp. 80-91. doi:10.1109/MCG.2016.67 ISSN 0272-1716.
Satilmis, Pinar, Marnerides, Demetris, Debattista, Kurt and Bashford-Rogers, Thomas (2022) Deep synthesis of cloud lighting. IEEE Computer Graphics and Applications, 42 (5). pp. 8-18. doi:10.1109/MCG.2022.3172846 ISSN 0272-1716.
Sato, Y., Westin, C.-F., Bhalerao, Abhir, Nakajima, S., Shiraga, N., Tamura, S. and Kikinis, R. (2002) Tissue classification based on 3D local intensity structures for volume rendering. IEEE Transactions on Visualization and Computer Graphics, Volume 6 (Number 2). pp. 160-180. ISSN 1077-2626.
Savva, Fotis, Anagnostopoulos, Christos and Triantafillou, Peter (2020) Adaptive learning of aggregate analytics under dynamic workloads. Future Generation Computer Systems, 109 . pp. 317-330. doi:10.1016/j.future.2020.03.063 ISSN 0167-739X.
Scarle, Simon (2009) Implications of the Turing completeness of reaction-diffusion models, informed by GPGPU simulations on an XBox 360: cardiac arrhythmias, re-entry and the Halting problem. Computational Biology and Chemistry, Vol.33 (No.4). pp. 253-260. doi:10.1016/j.compbiolchem.2009.05.001 ISSN 1476-9271.
Scott, Mary, Cormode, Graham and Maple, Carsten (2022) Aggregation and transformation of vector-valued messages in the shuffle model of differential privacy. IEEE Transactions on Information Forensics and Security, 17 . pp. 612-627. doi:10.1109/TIFS.2022.3147643 ISSN 1556-6013.
Scotton, Joshua D., Moebs, S., McManis, J. and Cristea, Alexandra I. (2010) Merging strategies for authoring QoE-based adaptive hypermedia. Journal of Universal Computer Science, Volume 16 (Number 19). pp. 2756-2779. doi:DOI:10.3217/jucs-016-19-2756 ISSN 0948-695X.
SenGupta, Arunava, Santillana, Begona, Sridhar, Seetharaman and Auinger, Michael (2019) Transient effect of fluid flow on dendrite growth direction in binary Fe-C alloys using Phase-Field in OpenFOAM. JOM Journal of The Minerals, Metals & Materials Society, 71 (11). pp. 3876-3884. doi:10.1007/s11837-019-03730-2 ISSN 1047-4838.
Sentance, Sue, Sinclair, Jane, Simmons, C. and Csizmadia, A. (2018) Classroom-based research projects for computing teachers : facilitating professional learning. ACM Transactions on Computing Education, 18 (3). 14. doi:10.1145/3171129 ISSN 1946-6226.
Seresinhe, Chanuki Illushka, Moat, Helen Susannah and Preis, Tobias (2018) Quantifying scenic areas using crowdsourced data. Environment and Planning B : Urban Analytics and City Science, 45 (3). pp. 567-582. doi:10.1177/0265813516687302 ISSN 2399-8083.
Seresinhe, Chanuki Illushka, Preis, Tobias and Moat, Helen Susannah (2017) Using deep learning to quantify the beauty of outdoor places. Royal Society Open Science, 4 (7). 170170. doi:10.1098/rsos.170170 ISSN 2054-5703.
Shaban, Muhammad, Awan, Ruqayya, Fraz, Muhammad Moazam, Azam, Ayesha, Tsang, Yee-Wah, Snead, David and Rajpoot, Nasir M. (Nasir Mahmood) (2020) Context-aware convolutional neural network for grading of colorectal cancer histology images. IEEE Transactions on Medical Imaging, 39 (7). pp. 2395-2405. doi:10.1109/TMI.2020.2971006 ISSN 0278-0062.
Shaban, Muhammad, Mahmood, Arif, Al-Maadeed, Somaya Ali and Rajpoot, Nasir M. (2019) An information fusion framework for person localization via body pose in spectator crowds. Information Fusion, 51 . pp. 178-188. doi:10.1016/j.inffus.2018.11.011 ISSN 1566-2535.
Shaikh, Maha and Henfridsson, Ola (2017) Governing open source software through coordination processes. Information and Organization, 27 (2). pp. 116-135. doi:10.1016/j.infoandorg.2017.04.001 ISSN 1471-7727.
Shaikh, Maha and Vaast, Emmanuelle (2016) Folding and unfolding : balancing openness and transparency in open source communities. Information Systems Research, 27 (4). pp. 813-833. doi:10.1287/isre.2016.0646 ISSN 1047-7047.
Shankar, Achyut and Maple, Carsten (2023) Securing the Internet of Things-enabled smart city infrastructure using a hybrid framework. Computer Communications, 205 . pp. 127-135. doi:10.1016/j.comcom.2023.04.008 ISSN 01403664.
Shao, Baohua and Leeson, Mark S. (2021) PaFiR : Particle Filter Routing – a predictive relaying scheme for UAV-assisted IoT communications in future innovated networks. Internet of Things, 14 . 100077. doi:10.1016/j.iot.2019.100077 ISSN 2543-1536.
Shao, Zekai, Sun, Shuran, Zhao, Yuheng, Wang, Siyuan, Wei, Zhongyu, Gui, Tao, Turkay, Cagatay and Chen, Siming (2023) Visual explanation for Open-domain question answering with BERT. IEEE Transactions on Visualization and Computer Graphics . pp. 1-18. doi:10.1109/tvcg.2023.3243676 ISSN 1941-0506. (In Press)
Shaukat, Ayesha, Anjum, Adeel, Malik, Saif U. R., Shah, Munam Ali and Maple, Carsten (2022) A novel privacy paradigm for improving serial data privacy. Sensors, 22 (7). e2811. doi:10.3390/s22072811 ISSN 1424-8220.
Shi, Lei and Cristea, Alexandra I. (2016) Simplifying is not always best : learners thrive when using multifaceted open social learner models. IEEE MultiMedia , 23 (1). pp. 36-47. doi:10.1109/MMUL.2015.93 ISSN 1070-986X.
Shi, Lei, Cristea, Alexandra I., Foss, Jonathan G. K., Al Qudah, Dana and Qaffas, Alaa (2013) A social personalized adaptive e-learning environment : a case study in Topolor. IADIS International Journal on WWW/Internet, Volume 11 (Number 3). pp. 1-17. ISSN 1645-7641.
Shi, Runyu (2021) Blockchain network as a platform : conceptualising its adapted layered architecture design. The Journal of The British Blockchain Association, 4 (2). pp. 1-6. doi:10.31585/jbba-4-2-(1)2021 ISSN 2516-3949.
Shi, Xuanhua, Chen, Ming, He, Ligang, Xie, Xu, Lu, Lu, Jin, Hai, Chen, Yong and Wu, Song (2015) Mammoth : gearing Hadoop towards memory-intensive MapReduce applications. IEEE Transactions on Parallel and Distributed Systems, 26 (8). pp. 2300-2315. doi:10.1109/TPDS.2014.2345068 ISSN 1045-9219.
Shi, Xuanhua, Peng, Xuan, He, Ligang, Zhao, Yunfei and Jin, Hai (2023) Waterwave : a GPU memory flow engine for concurrent DNN training. IEEE Transactions on Computers, 72 (10). pp. 2938-2950. doi:10.1109/tc.2023.3278530 ISSN 1557-9956.
Shields, Rebecca, Khan, Omar, Lim Choi Keung, Sarah Niukyun, Hawkes, Amelia, Barry, Aisling, Devall, Adam J., Quinn, Stephen D., Keay, Stephen D., Arvanitis, Theodoros N., Bick, Debra and Quenby, Siobhan (2022) Quantitative assessment of pregnancy outcome following recurrent miscarriage clinic care : a prospective cohort study. BMJ Open, 12 (2). doi:10.1136/bmjopen-2021-052661 ISSN 2044-6055.
Shin, Dongjoe and Tjahjadi, Tardi (2010) Clique descriptor of affine invariant regions for robust wide baseline image matching. Pattern Recognition, Vol.43 (No.10). pp. 3261-3272. doi:10.1016/j.patcog.2010.04.010 ISSN 0031-3203.
Shirian, Amir, Somandepalli, Krishna and Guha, Tanaya (2022) Self-supervised graphs for audio representation learning with limited labeled data. IEEE Journal of Selected Topics in Signal Processing, 16 (6). pp. 1391-1401. doi:10.1109/JSTSP.2022.3190083 ISSN 1932-4553.
Shirian, Amir, Tripathi, Subarna and Guha, Tanaya (2021) Dynamic emotion modeling with learnable graphs and graph inception network. IEEE Transactions on Multimedia, 24 . pp. 780-790. doi:10.1109/TMM.2021.3059169 ISSN 1520-9210.
Shonola, Shaibu A. and Joy, Mike (2016) Enhancing mobile learning security. International Journal on Integrating Technology in Education, 5 (3). pp. 1-15. doi:10.5121/ijite.2016.5301 ISSN 2320-3935.
Shonola, Shaibu A. and Joy, Mike (2015) Security of m-learning system : a collective responsibility. International Journal of Interactive Mobile Technologies (iJIM), 9 (3). pp. 64-70. doi:10.3991/ijim.v9i3.4475 ISSN 1865-7923.
Shuaib, Choudhry, Syed, Mairaj, Halawi, Danny and Saquib, Nazmus (2022) Trophic analysis of a historical network reveals temporal information. Applied Network Science, 7 (1). 31. doi:10.1007/s41109-022-00469-9 ISSN 2364-8228.
Siklósi, Bálint, Mudalige, Gihan R. and Reguly, István Z. (2024) Enabling Bitwise reproducibility for the unstructured computational motif. Applied Sciences, 14 (2). 639. doi:10.3390/app14020639 ISSN 2076-3417.
Sinclair, Jane, Boyatt, Russell, Rocks, Claire and Joy, Mike (2015) Massive open online courses : a review of usage and evaluation. International journal of learning technology, 10 (1). pp. 1-23. ISSN 1477-8386.
Sinclair, Lucas, Ijaz, Umer Z., Jensen, Lars Juhl, Coolen, Marco J.L., Gubry-Rangin, Cecile, Chroňáková, Alica, Oulas, Anastasis, Pavloudi, Christina, Schnetzer, Julia, Weimann, Aaron, Ijaz, Ali, Eiler, Alexander, Quince, Christopher and Pafilis, Evangelos (2016) Seqenv : linking sequences to environments through text mining. PeerJ, 4 . e2690. doi:10.7717/peerj.2690 ISSN 2167-8359.
Singh, Christopher N., Crafton, Brian A., West, Mathew P., Weidenbach, Alex S., Butler, Keith T., MacDonald, Allan H., Raychowdury, Arjit, Vogel, Eric M., Doolittle, W. Alan, Piper, Louis F. J. and Lee, Wei-Cheng (2021) Quantum statistical transport phenomena in memristive computing architectures. Physical Review Applied, 15 (5). 054030. doi:10.1103/PhysRevApplied.15.054030 ISSN 2331-7019.
Singh, R., Lee, P. D., Lindley, T. C., Kohlhauser, C., Hellmich, C., Bram, M., Imwinkelried, T. and Dashwood, R. J. (2010) Characterization of the deformation behavior of intermediate porosity interconnected Ti foams using micro-computed tomography and direct finite element modeling. Acta Biomaterialia, Vol.6 (No.6). pp. 2342-2351. doi:10.1016/j.actbio.2009.11.032 ISSN 1742-7061.
Sinha, Sumit, Franciosa, Pasquale and Ceglarek, Darek (2021) Object shape error response using Bayesian 3D convolutional neural networks for assembly systems with compliant parts. IEEE Transactions on Industrial Informatics , 17 (10). pp. 6676-6686. doi:10.1109/TII.2020.3043226 ISSN 1551-3203.
Sinha, Sumit, Franciosa, Pasquale and Ceglarek, Dariusz (2021) Building a scalable and interpretable bayesian deep learning framework for quality control of free form surfaces. IEEE Access, 9 . pp. 50188-50208. doi:10.1109/ACCESS.2021.3068867 ISSN 2169-3536.
Sitthiworachart, Jirarat and Joy, Mike (2008) Computer support of effective peer assessment in an undergraduate programming class. Journal of Computer Assisted Learning, Volume 24 (Number 3). pp. 217-231. doi:10.1111/j.1365-2729.2007.00255.x ISSN 0266-4909.
Smith, Edward M., Littrell, Jack and Olivier, M. (Michael) (2007) Automated SNP genotype clustering algorithm to improve data completeness in high-throughput SNP genotyping datasets from custom arrays. Genomics Proteomics & Bioinformatics, Vol.5 (No.3-4). pp. 256-259. doi:10.1016/S1672-0229(08)60014-5 ISSN 1672-0229.
Sohrabi Safa, Nader and Maple, Carsten (2016) Human errors in the information security realm – and how to fix them. Computer Fraud & Security, 2016 (9). pp. 17-20. doi:10.1016/S1361-3723(16)30073-2 ISSN 1361-3723.
Sohrabi Safa, Nader , Maple, Carsten, Haghparast, Mahboobeh, Watson, Tim and Dianati, Mehrdad (2019) An opportunistic resource management model to overcome resource-constraint in the Internet of Things. Concurrency and Computation: Practice and Experience, 31 (8). e5014. doi:10.1002/cpe.5014 ISSN 1532-0626.
Sohrabi Safa, Nader , Maple, Carsten, Watson, Tim and Furnell, Steven (2018) Information security collaboration formation in organisations. IET Information Security, 12 (3). 238. doi:10.1049/iet-ifs.2017.0257 ISSN 1751-8709.
Sohrabi Safa, Nader , Maple, Carsten, Watson, Tim and Von Solms, Rossouw (2018) Motivation and opportunity based model to reduce information security insider threats in organisations. Journal of Information Security and Applications, 40 . pp. 247-257. doi:10.1016/j.jisa.2017.11.001 ISSN 2214-2126.
Sohrabi Safa, Nader , Solms, Rossouw von and Futcher, Lynn (2016) Human aspects of information security in organisations. Computer Fraud & Security, 2016 (2). pp. 15-18. doi:10.1016/S1361-3723(16)30017-3 ISSN 1361-3723.
Sohrabi Safa, Nader , Sookhak, Mehdi, Von Solms, Rossouw, Furnell, Steven, Ghani, Norjihan Abdul and Herawan, Tutut (2015) Information security conscious care behaviour formation in organizations. Computers & Security, 53 . pp. 65-78. doi:10.1016/j.cose.2015.05.012 ISSN 0167-4048.
Sohrabi Safa, Nader and Von Solms, Rossouw (2016) An information security knowledge sharing model in organizations. Computers in Human Behavior, 57 . pp. 442-451. doi:10.1016/j.chb.2015.12.037 ISSN 0747-5632.
Sohrabi Safa, Nader , Von Solms, Rossouw and Furnell, Steven (2016) Information security policy compliance model in organizations. Computers & Security, 56 . pp. 70-82. doi:10.1016/j.cose.2015.10.006 ISSN 0167-4048.
Somandepalli, Krishna, Kumar, Naveen, Guha, Tanaya and Narayanan, Shrikanth S. (2018) Unsupervised discovery of character dictionaries in animation movies. IEEE Transactions on Multimedia, 20 (3). pp. 539-551. doi:10.1109/TMM.2017.2745712 ISSN 1520-9210.
Song, Jiagang, Lin, Yunwu, Song, Jiayu, Yu, Weiren and Zhang, Leyuan (2021) TDCMR: Triplet-Based Deep Cross-Modal Retrieval for geo-multimedia data. Applied Sciences, 11 (22). e10803. doi:10.3390/app112210803 ISSN 2076-3417.
Song, Tzu-Hsi, Sanchez Silva, Victor, Eldaly, Hesham and Rajpoot, Nasir M. (2017) Dual-channel active contour model for megakaryocytic cell segmentation in bone marrow trephine histology images. IEEE Transactions on Biomedical Engineering, 64 (12). pp. 2913-2923. doi:10.1109/TBME.2017.2690863 ISSN 0018-9294.
Spahn, Christoph, Gómez-de-Mariscal, Estibaliz, Laine, Romain F., Pereira, Pedro M., von Chamier, Lucas, Conduit, Mia, Pinho, Mariana G., Jacquemet, Guillaume, Holden, Séamus, Heilemann, Mike and Henriques, Ricardo (2022) DeepBacs for multi-task bacterial image analysis using open-source deep learning approaches. Communications Biology, 5 . 688. doi:10.1038/s42003-022-03634-z ISSN 2399-3642.
Spencer, Matt and Pizio, Daniele (2023) The de-perimeterisation of information security : the Jericho Forum, zero trust, and narrativity. Social Studies of Science . doi:10.1177/03063127231221107 ISSN 0306-3127. (In Press)
Spencer, Matthew (2022) Characterising assurance : scepticism and mistrust in cyber security. Journal of Cultural Economy . doi:10.1080/17530350.2022.2098515 ISSN 1753-0350. (In Press)
Spencer, Matthew (2021) Creative malfunction : finding fault with Rowhammer. Computational Culture, 8 . ISSN 2047-2390.
Spencer, Matthew (2019) The difference a method makes : methods as epistemic objects in computational science. Distinktion: Journal of Social Theory, 20 (3). pp. 313-327. doi:10.1080/1600910X.2019.1610018 ISSN 1600-910X.
Spiekermann, Kai, Slavny, Adam, Axelsen, David and Lawford-Smith, Holly (2021) Big data justice : a case for regulating the global information commons. Journal of Politics, 83 (2). pp. 577-588. doi:10.1086/709862 ISSN 0022-3816.
Spooner, Daniel P., Cao, J., Jarvis, Stephen A., He, Ligang and Nudd, G. R. (2005) Performance-aware workflow management for grid computing. Computer Journal, Volume 48 (Number 3). pp. 347-357. doi:10.1093/comjnl/bxh090 ISSN 0010-4620.
Squire, Vicki, Àkànle, Ọláyínká, Jones, Briony, Logo, Kuyang and Porto de Albuquerque, João (2024) Engaging data literacies in displacement. Journal of Humanitarian Affairs . ISSN 2515-6411. (In Press)
Stacey, Patrick and Nandhakumar, Joe (2008) Opening up to agile games development. Communications of the ACM, Vol.51 (No.12). pp. 143-146. doi:10.1145/1409360.1409387 ISSN 0001-0782.
Stantchev, Rayko Ivanov, Li, Kaidi and Pickwell-MacPherson, Emma (2021) Rapid imaging of pulsed terahertz radiation with spatial light modulators and neural networks. ACS Photonics, 8 (11). pp. 3150-3155. doi:10.1021/acsphotonics.1c00634 ISSN 2330-4022.
Stash, Natalia, Bra, Paul M. E. de and Cristea, Alexandra I. (2008) AHA! (Adaptive Hypermedia Architecture!).
Stash, Natalia, Cristea, Alexandra I. and Bra, Paul M. E. de (2007) Adaptation languages as vehicles of explicit intelligence in Adaptive Hypermedia. International Journal of Continuing Engineering Education and Life-Long Learning (IJCEELL), Volume 17 (Number 4/5). pp. 319-336. doi:10.1504/IJCEELL.2007.015045 ISSN 1560-4624.
Steenmans, Katrien, Taylor, Phillip M. and Steenmans, Ine (2021) Blockchain technology for governance of plastic waste management : where are we? Social Sciences, 10 (11). e434. doi:10.3390/socsci10110434 ISSN 2076-0760.
Stegle, Oliver, Denby, Katherine J., Cooke, Emma J., Wild, David L., Ghahramani, Zoubin and Borgwardt, Karsten M. (2010) A robust Bayesian two-sample test for detecting intervals of differential gene expression in microarray time series. Journal of Computational Biology , Volume 17 (Number 3). pp. 355-367. doi:10.1089/cmb.2009.0175 ISSN 1066-5277.
Stein, Stefan and Leng, Chenlei (2023) An annotated graph model with differential degree heterogeneity for directed networks. Journal of Machine Learning Research, 24 (119). pp. 1-69. ISSN 1532-4435.
Steliaros, M.K., Martin, Graham R. and Packwood, R.A. (1998) Video object motion repesentation using run-length codes. Electronics Letters, Volume 34 (Number 6). pp. 543-544. ISSN 0013-5194.
Stella, Massimo, Swanson, Trevor J., Li, Ying, Hills, Thomas Trenholm and Teixeira, Andreia S. (2022) Cognitive networks detect structural patterns and emotional complexity in suicide notes. Frontiers in Psychology, 13 . 917630. doi:10.3389/fpsyg.2022.917630 ISSN 1664-1078.
Stepanyan, Karen, Littlejohn, Allison and Margaryan, Anoush (2013) Sustainable e-Learning : toward a coherent body of knowledge. Educational Technology & Society, Volume 16 (Number 2). pp. 91-102. ISSN 1176-3647.
Stepanyan, Karen, Mather, Richard and Dalrymple, Roger (2014) Culture, role and group work : a social network analysis perspective on an online collaborative course. British Journal of Educational Technology, Volume 45 (Number 4). pp. 676-693. doi:10.1111/bjet.12076 ISSN 0007-1013.
Sterzinger, Philipp and Kosmidis, Ioannis (2023) Maximum softly-penalized likelihood for mixed effects logistic regression. Statistics and Computing, 33 (2). 53. doi:10.1007/s11222-023-10217-3 ISSN 0960-3174.
Stewart, Ian (2022) Overdetermined ODEs and rigid periodic states in network dynamics. Portugaliae Mathematica, 79 (1/2). pp. 85-161. doi:10.4171/pm/2080 ISSN 0032-5155.
Stewart, Neil (2006) Millisecond accuracy video display using OpenGL under Linux. Behavior Research Methods, Vol.38 (No.1). pp. 142-145. doi:10.3758/BF03192759 ISSN 1554-351X.
Stewart, Neil (2006) A PC parallel port button box provides millisecond response time accuracy under Linux. Behavior Research Methods, Vol.38 (No.1). pp. 170-173. doi:10.3758/BF03192764 ISSN 1554-351X.
Stewart, Neil, Chandler, Jesse and Paolacci, Gabriele (2017) Crowdsourcing samples in cognitive science. Trends in Cognitive Sciences, 21 (10). 736 -748. ISSN 1364-6613.
Stewart, Neil, Ungemach, Christoph, Harris, Adam J. L. , Bartels, Daniel M., Newell, Benjamin R., Paolacci, Gabriele and Chandler, Jesse (2015) The average laboratory samples a population of 7,300 Amazon Mechanical Turk workers. Judgment and Decision Making, 10 (5). pp. 479-491. ISSN 1930-2975.
Stoneham, A. M., Harker, A. H. (Anthony Henry) and Morley, Gavin (2009) Could one make a diamond-based quantum computer? Journal of Physics: Condensed Matter, Vol.21 (No.36). p. 364222. doi:10.1088/0953-8984/21/36/364222 ISSN 0953-8984.
Styles, Olly, Guha, Tanaya and Sanchez Silva, Victor (2022) Multi-camera trajectory forecasting with trajectory tensors. IEEE Transactions on Pattern Analysis and Machine Intelligence, 44 (11). pp. 8482-8491. doi:10.1109/TPAMI.2021.3107958 ISSN 0162-8828.
Sudin, Ellhia, Searjeant, Mitchell, Partridge, George, Phillips, Peter W., Hiller, Louise, Snead, David R. J., Ellis, Ian O. and Chen, Yan (2022) Digital pathology : the effect of experience on visual search behavior. Journal of Medical Imaging , 9 (03). 035501. doi:10.1117/1.JMI.9.3.035501 ISSN 2329-4302.
Summers, P., Bhalerao, Abhir and Hawkes, D. (1997) Multi-resolution, model based segmentation of MR angiograms. Journal of Magnetic Resonance Imaging, Volume 7 (Number 6). pp. 950-957. ISSN 1053-1807.
Sun, Ankang, Chen, Bo and Doan, Xuan Vinh (2022) Equitability and welfare maximization for allocating indivisible items. Autonomous Agents and Multi-Agent Systems, 37 . 8. doi:10.1007/s10458-022-09587-1 ISSN 1387-2532.
Sun, Lei, Hines, Evor, Green, Roger, Leeson, Mark S. and Iliescu, Daciana (2007) Phase compensating dielectric lens design with genetic programming. International Journal of RF and Microwave Computer-Aided Engineering, Vol.17 (No.5). pp. 493-504. doi:10.1002/mmce.20244 ISSN 1096-4290.
Sun, S., Joy, Mike and Griffiths, Nathan (2007) The use of learning objects and learning styles in a multi-agent education system. Journal of Interactive Learning Research (JILR), Volume 18 (Number 3). pp. 381-398. ISSN 1093-023X.
Sunar, Ayse and Khalid, Md Saifuddin (2023) Natural language processing of student's feedback to instructors : a systematic review. IEEE Transactions on Learning Technologies, 17 . pp. 741-753. doi:10.1109/tlt.2023.3330531 ISSN 1939-1382.
Suri, Neeraj, Jhumka, Arshad, Hiller, Martin, Pataricza, Andras, Islam, Shariful and Sarbu, Constantin (2010) A software integration approach for designing and assessing dependable embedded systems. Journal of Systems and Software, Vol.83 (No.10). pp. 1780-1800. doi:10.1016/j.jss.2010.04.063 ISSN 0164-1212.
Susarla, Anjana, Gopal, Ram D., Thatcher, Jason Bennett and Sarker, Suprateek (2023) The Janus effect of generative AI : charting the path for responsible conduct of scholarly activities in information systems. Information Systems Research, 34 (2). pp. 399-408. doi:10.1287/isre.2023.ed.v34.n2 ISSN 1526-5536.
Sutcliffe, Alistair, Thew, Sarah, de Bruijn, Oscar, Buchan, Ian, Jarvis, Paul, McNaught, Jock and Procter, Rob (2010) User engagement by user-centred design in e-Health. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, Vol.368 (No.1926). pp. 4209-4224. doi:10.1098/rsta.2010.0141 ISSN 1364-503X.
Sutton-Parker, Justin (2022) Can analytics software measure end user computing electricity consumption? Clean Technologies and Environmental Policy, 24 . 2441-2458 . doi:10.1007/s10098-022-02325-x ISSN 1618-954X.
Swan, Jacky and Galliers, Robert (1996) Networking : The future of information systems. Data Base for Advances in Information Systems, 27 (4). pp. 92-98.
Synder, L. A. S., Jarvis, Stephen A. and Saunders, Nigel J. (2005) Complete and variant forms of the gonococcal genetic island in neisseria meningitidis. Microbiology, Volume 151 (Number 12). pp. 4005-4013. ISSN 1350-0872.
Talaoui, Yassine, Kohtamäki, Marko, Ranta, Mikko and Paroutis, Sotirios (2023) Recovering the divide : a review of the big data analytics—strategy relationship. Long Range Planning, 56 (2). 102290. doi:10.1016/j.lrp.2022.102290 ISSN 0024-6301.
Tan, Guang and Jarvis, Stephen A. (2007) Improving the fault resilience of overlay multicast for media streaming. IEEE Transactions on Parallel and Distributed Systems, Volume 18 (Number 6). pp. 721-734. doi:10.1109/TPDS.2007.1054 ISSN 1045-9219.
Tan, Guang and Jarvis, Stephen A. (2008) A payment-based incentive and service differentiation scheme for peer-to-peer streaming broadcast. IEEE Transactions on Parallel and Distributed Systems, Volume 19 (Number 7). pp. 940-953. doi:10.1109/TPDS.2007.70778 ISSN 1045-9219.
Tan, Wilson M. and Jarvis, Stephen A. (2016) Heuristic solutions to the target identifiability problem in directional sensor networks. Journal of Network and Computer Application, 65 . pp. 84-102. doi:10.1016/j.jnca.2016.02.011 ISSN 1084-8045.
Tan, Wilson M. and Jarvis, Stephen A. (2014) On the design of an energy-harvesting noise-sensing WSN mote. EURASIP Journal on Wireless Communications and Networking, Volume 2014 . Article number 167. doi:10.1186/1687-1499-2014-167 ISSN 1687-1499.
Tang, Donglei, Zhang, Zhikai, He, Yulan, Lin, Chao and Zhou, Deyu (2019) Hidden topic–emotion transition model for multi-level social emotion detection. Knowledge-Based Systems, 164 . pp. 426-435. doi:10.1016/j.knosys.2018.11.014 ISSN 0950-7051.
Tang, Jin, Luo, Jian, Tjahjadi, Tardi and Guo, Fan (2017) Robust arbitrary-view gait recognition based on 3D partial similarity matching. IEEE Transactions on Image Processing, 26 (1). pp. 7-22. doi:10.1109/TIP.2016.2612823 ISSN 1057-7149.
Tang, Xiaopeng, Liu, Kailong, Wang, Xin, Liu, Boyang, Gao, Furong and Widanage, Widanalage Dhammika (2019) Real-time aging trajectory prediction using a base model-oriented gradient-correction particle filter for Lithium-ion batteries. Journal of Power Sources, 440 . 227118. doi:10.1016/j.jpowsour.2019.227118 ISSN 0378-7753.
Tang, Yongchuan, Zhang, Xu, Zhou, Ying, Huang, Yubo and Zhou, Deyun (2023) A new correlation belief function in Dempster-Shafer evidence theory and its application in classification. Scientific Reports, 13 (1). 7609. doi:10.1038/s41598-023-34577-y ISSN 2045-2322.
Tao, H., Guo, Shuixia, Ge, Tian, Kendrick, Keith M., Xue, Z., Liu, Zhening and Feng, Jianfeng (2013) Depression uncouples brain hate circuit. Molecular Psychiatry, Vol.18 . pp. 101-111. doi:10.1038/mp.2011.127 ISSN 1359-4184.
Taramonli, Chryssanthi, Green, Roger and Leeson, Mark S. (2017) Energy conscious adaptive security scheme : a reliability-based stochastic approach. Journal of Information Warfare, 16 (4). pp. 55-72. ISSN 1445-3312.
Tawn, Nicholas, Roberts, Gareth O. and Rosenthal, Jeffrey S. (2020) Weight-preserving simulated tempering. Statistics and Computing, 30 . pp. 27-41. doi:10.1007/s11222-019-09863-3 ISSN 0960-3174.
Taylor, Phillip M., Barakat, Lina, Miles, Simon and Griffiths, Nathan (2018) Reputation assessment : a review and unifying abstraction. Knowledge Engineering Review, 33 . e6. doi:10.1017/S0269888918000097 ISSN 0269-8889.
Taylor, Phillip M., Griffiths, Nathan, Bhalerao, Abhir, Sarabjot, Anand, Popham, Thomas, Zhou, Xu and Gelencser, Adam (2016) Data mining for vehicle telemetry. Applied Artificial Intelligence, 30 (3). pp. 233-256. doi:10.1080/08839514.2016.1156954 ISSN 0883-9514.
Taylor, Phillip M., Griffiths, Nathan, Hall, V., Zhou, Z. and Mouzakitis, A. (2022) Feature selection for supervised learning and compression. Applied Artificial Intelligence, 36 (1). 2034293. doi:10.1080/08839514.2022.2034293 ISSN 1087-6545.
Terbeck, Sylvia, Charlesford, Jaysan, Clemans, Heather, Pope, Emily, Lee, Aimee, Turner, Joshua, Gummerum, Michaela and Bussmann, Bettina (2021) Physical presence during moral action in immersive virtual reality. International Journal of Environmental Research and Public Health, 18 (15). 8039. doi:10.3390/ijerph18158039 ISSN 1660-4601.
Thomason, Alasdair, Griffiths, Nathan and Sanchez Silva, Victor (2016) Identifying locations from geospatial trajectories. Journal of Computer and System Sciences, 82 (4). pp. 566-581. doi:10.1016/j.jcss.2015.10.005 ISSN 0022-0000.
Thompson, Andrew D., Elahi, Farah, Realpe, Alba, Birchwood, Max, Taylor, David, Vlaev, Ivo, Leahy, Fiona and Bucci, Sandra (2020) A feasibility and acceptability trial of social cognitive therapy in early psychosis delivered through a virtual world : The VEEP study. Frontiers in Psychiatry, 11 . 219. doi:10.3389/fpsyt.2020.00219 ISSN 1664-0640.
Timofeeva, Yulia, Cox, S. J., Coombes, Stephen and Josić, Krešimir (2008) Democratization in a passive dendritic tree : an analytical investigation. Journal of Computational Neuroscience, Vol.25 (No.2). pp. 228-244. doi:10.1007/s10827-008-0075-9 ISSN 0929-5313.
Tiskin, Alexander (2002) Bulk-synchronous parallel Gaussian elimination. Journal of Mathematical Sciences, Volume 108 (Number 6). pp. 977-991. doi:10.1023/A:1013588221172 ISSN 1072-3374.
Tiskin, Alexander (2007) Communication-efficient parallel generic pairwise elimination. Future Generation Computer Systems, Volume 23 (Number 2). pp. 179-188. doi:10.1016/j.future.2006.04.017 ISSN 0167-739X.
Tiskin, Alexander (2009) Faster Subsequence Recognition in Compressed Strings. Journal of Mathematical Sciences, 158 (5). pp. 759-769. doi:10.1007/s10958-009-9396-0 ISSN 1072-3374.
Tiskin, Alexander (2008) Semi-local longest common subsequences in subquadratic time. Journal of Discrete Algorithms, Volume 6 (Number 4). pp. 570-581. doi:10.1016/j.jda.2008.07.001 ISSN 1570-8667.
Tiskin, Alexander (2008) Semi-local string comparison : algorithmic techniques and applications. Mathematics in Computer Science, Volume 1 (Number 4). pp. 571-603. doi:10.1007/s11786-007-0033-3 ISSN 1661-8270.
Tkacz, Nathaniel (2010) Wikipedia and the politics of mass collaboration. Platform : journal of media and communication, Volume 2 (Number 2). pp. 40-53. ISSN 1836-5132.
Tkacz, Nathaniel, Henrique da Mata Martins, Mário, Porto de Albuquerque, João , Horita, Flávio and Dolif Neto, Giovanni (2021) Data diaries : a situated approach to the study of data. Big Data & Society, 8 (1). pp. 1-16. doi:10.1177/2053951721996036 ISSN 2053-9517.
Tolmie, Peter, Procter, Rob, Rouncefield, Mark, Liakata, Maria and Zubiaga, Arkaitz (2018) Microblog analysis as a program of work. ACM Transactions on Social Computing, 1 (1). pp. 2-42. doi:10.1145/3162956 ISSN 2469-7818 .
Tran-Thanh, Long, Stein, Sebastian, Rogers, Alex and Jennings, Nicholas R. (2014) Efficient crowdsourcing of unknown experts using bounded multi-armed bandits. Artificial Intelligence, 214 . pp. 89-111. doi:10.1016/j.artint.2014.04.005 ISSN 0004-3702.
Trendl, Anna, Owen, A., Vomfell, Lara, Kilian, L., Gathergood, J., Stewart, Neil and Leake, D. (2023) Estimating carbon footprints from large scale financial transaction data. Journal of Industrial Ecology, 27 (1). pp. 56-70. doi:10.1111/jiec.13351 ISSN 1088-1980.
Triantoro, Tamilla , Gopal, Ram D., Benbunan-Fich, Raquel and Lang, Guido (2020) Personality and games : enhancing online surveys through gamification. Information Technology and Management, 21 . pp. 169-178. doi:10.1007/s10799-020-00314-4 ISSN 1385-951X.
Truong, Nhat Van-Quoc, Dinh, Le Cong, Stein, Sebastian, Tran-Thanh, Long and Jennings, Nicholas R. (2023) Efficient and adaptive incentive selection for crowdsourcing contests. Applied Intelligence, 53 . pp. 9204-9234. doi:10.1007/s10489-022-03593-2 ISSN 0924-669X.
Tu, Zezhong, Xue, Yongkang, Ren, Pengpeng, Hao, Feng, Wang, Runsheng, Li, Meng, Zhang, Jianfu, Ji, Zhigang and Huang, Ru (2022) A probability-based strong physical unclonable function with strong machine learning immunity. IEEE Electron Device Letters, 43 (1). pp. 138-141. doi:10.1109/LED.2021.3130606 ISSN 0741-3106.
Turk, Ata, Selvitopi, R. Oguz, Ferhatosmanoglu, Hakan and Aykanat, Cevdet (2014) Temporal workload-aware replicated partitioning for social networks. IEEE Transactions on Knowledge and Data Engineering, 26 (11). pp. 2832-2845. doi:10.1109/TKDE.2014.2302291 ISSN 1041-4347.
Turner, James D., Bacigalupo, David A., Jarvis, Stephen A. and Dillenberger, D. N. (2002) Application response measurement of distributed web services. Journal of Computer Resource Measurement, Volume 108 . pp. 45-55.
Turner, James D., Spooner, Daniel P., Cao, J., Jarvis, Stephen A., Dillenberger, D. N. and Nudd, G. R. (2001) A transaction definition language for Java application response measurement. Journal of Computer Resource Measurement, Volume 105 . pp. 55-65.
Turrini, Paolo (2016) Endogenous games with goals : side-payments among goal-directed agents. Autonomous Agents and Multi-Agent Systems, 30 (5). pp. 765-792. doi:10.1007/s10458-015-9304-6 ISSN 1387-2532.
Ulahannan, Arun, Jennings, Paul. A., Oliveira, Luis and Birrell, Stewart A. (2020) Designing an adaptive interface : using eye tracking to classify how information usage changes over time in partially automated vehicles. IEEE Access, 8 . pp. 16865-16875. doi:10.1109/ACCESS.2020.2966928 ISSN 2169-3536.
Unal, Devrim, Hammoudeh, Mohammad, Asif Khan, Muhammad, Abuarqoub, Abdelrahman, Epiphaniou, Gregory and Hamila, Ridha (2021) Integration of federated machine learning and blockchain for the provision of secure big data analytics for Internet of Things. Computers & Security, 109 . 102393. doi:10.1016/j.cose.2021.102393 ISSN 0167-4048.
Urbano, C., Magalhaes, L., Moura, J., Bessa, M., Marcos, A. and Chalmers, Alan (2010) Tone mapping operators on small screen devices: an evaluation study. Computer Graphics Forum, Vol.29 (No.8). pp. 2469-2478. doi:10.1111/j.1467-8659.2010.01758.x ISSN 0167-7055.
Urooj, Beenish, Shah, Munam Ali, Maple, Carsten, Abbasi, Muhammad Kamran and Riasat, Sidra (2022) Malware detection : a framework for reverse engineered android applications through machine learning algorithms. IEEE Access, 10 . 89031 -89050. doi:10.1109/ACCESS.2022.3149053 ISSN 2169-3536.
Valdés Amaro, Daniel Alejandro and Bhalerao, Abhir (2015) Hierarchical contour shape analysis. Computacion y Sistemas, 19 (2). ISSN 1405-5546.
Van Den Berg, Hugo (2019) Beholding the cosmos : Huygens’ Cosmotheoros and the latter-day Copernicans. Science Progress, 102 (3). pp. 249-260. doi:10.1177/0036850419872918 ISSN 0036-8504.
Vedres, Balazs and Vasarhelyi, Orsolya (2019) Gendered behavior as a disadvantage in open source software development. EPJ Data Science, 8 . 25. doi:10.1140/epjds/s13688-019-0202-z ISSN 2193-1127.
Venkateswarlu, Ayineedi, Kesarwani, Abhishek and Sarkar, Sumanta (2022) On the lower bound of cost of MDS matrices. IACR Transactions on Symmetric Cryptology, 2022 (4). pp. 266-290. doi:10.46586/tosc.v2022.i4.266-290 ISSN 2519-173X.
Viale Pereira, Gabriela, Estevez, Elsa, Cardona, Diego, Chesñevar, Carlos, Collazzo-Yelpo, Pablo, Cunha, Maria Alexandra, Diniz, Eduardo Henrique, Ferraresi, Alex Antonio, Fischer, Frida Marina, Cardinelle Oliveira Garcia, Flúvio, Joia, Luiz Antonio, Luciano, Edimara M., de Albuquerque, João Porto , Quandt, Carlos O., Sánchez Rios, Rodrigo, Sánchez, Aurora, Damião da Silva, Eduardo, Silva-Junior, João Silvestre and Scholz, Roland W. (2020) South American Expert Roundtable : increasing adaptive governance capacity for coping with unintended side effects of digital transformation. Sustainability, 12 (2). 718. doi:10.3390/su12020718 ISSN 2071-1050.
Vignolo, Alessia, Powell, Henry, Rea, Francesco, Sciutti, Alessandra, McEllin, Luke and Michael, John (2022) A humanoid robot’s effortful adaptation boosts partners’ commitment to an interactive teaching task. ACM Transactions on Human-Robot Interaction, 11 (1). pp. 1-17. doi:10.1145/3481586 ISSN 2573-9522.
Vipin, Kizheppatt and Fahmy, Suhaib A. (2018) FPGA dynamic and partial reconfiguration : a survey of architectures, methods, and applications. ACM Computing Surveys, 51 (4). 72. doi:10.1145/3193827 ISSN 0360-0300.
Vipin, Kizheppatt and Fahmy, Suhaib A. (2014) ZyCAP : efficient partial reconfiguration management on the Xilinx Zynq. IEEE Embedded Systems Letters, 6 (3). pp. 41-44. doi:10.1109/LES.2014.2314390 ISSN 1943-0663.
Virtanen, Seppo, Rost, Mattias, Morrison, Alistair, Chalmers , Matthew and Girolami, Mark (2016) Uncovering smartphone usage patterns with multi-view mixed membership models. Stat, 5 (1). pp. 57-69. doi:10.1002/sta4.103 ISSN 2049-1573.
Vu, Quoc Dang, Rajpoot, Kashif, Raza, Shan E. Ahmed and Rajpoot, Nasir M. (Nasir Mahmood) (2023) Handcrafted Histological Transformer (H2T) : unsupervised representation of whole slide images. Medical Image Analysis, 85 . p. 102743. 102743. doi:10.1016/j.media.2023.102743 ISSN 1361-8415.
Wagner, Erica L., Moll, Jodie and Newell, Susan (2011) Accounting logics, reconfiguration of ERP systems and the emergence of new accounting practices : a sociomaterial perspective. Management Accounting Research, Vol.22 (No.3). pp. 181-197. doi:10.1016/j.mar.2011.03.001 ISSN 10445005.
Wagner, Erica L. and Newell, Susan (2007) Exploring the importance of participation in the post-implementation period of an ES project: A neglected area. Journal of the Association for Information Systems, Vol.8 (No.10). pp. 508-524. ISSN 1536-9323.
Wagner, Erica L., Newell, Susan and Piccoli, Gabriele (2010) Understanding project survival in an ES environment: a sociomaterial practice perspective. Journal of the Association for Information Systems, Vol.11 (No.5). pp. 276-297. ISSN 1536-9323.
Walsh, J., Kesa, Oluwafunmilola, Wang, A., Ilas, M., O'Hara, P., Giles, O., Dhir, N., Girolami, M. and Damoulas, Theodoros (2024) Near real-time social distancing estimation in London. The Computer Journal, 67 (1). pp. 95-109. doi:10.1093/comjnl/bxac160 ISSN 0010-4620.
Walsh, Julia, Cave, Jonathan A. K. and Griffiths, Frances (2021) Spontaneously generated online patient experience of Modafinil : a qualitative and NLP analysis. Frontiers in Digital Health, 3 . 598431. doi:10.3389/fdgth.2021.598431 ISSN 2673-253X.
Walsh, Julia, Dwumfour, Christine, Cave, Jonathan and Griffiths, Frances (2022) Spontaneously generated online patient experience data - how and why is it being used in health research : an umbrella scoping review. BMC Medical Research Methodology, 22 (1). 139. doi:10.1186/s12874-022-01610-z ISSN 1471-2288.
Wang, Chen, Ma, Hui, Chen, Gang, Hartmann, Sven and Branke, Jürgen (2022) Robustness estimation and optimisation for semantic web service composition with stochastic service failures. IEEE Transactions on Emerging Topics in Computational Intelligence, 6 (1). pp. 77-92. doi:10.1109/TETCI.2020.3027870 ISSN 2471-285X.
Wang, Daren, Yu, Yi and Rinaldo, Alessandro (2021) Optimal change point detection and localization in sparse dynamic networks. The Annals of Statistics, 49 (1). pp. 203-232. doi:10.1214/20-AOS1953 ISSN 0090-5364.
Wang, Faye Fangfei and Griffiths, Nathan (2010) Protecting privacy in automated transaction systems : a legal and technological perspective in the European Union. International Review of Law, Computers & Technology, Vol.24 (No.2). pp. 153-162. doi:10.1080/13600861003748243 ISSN 1360-0869.
Wang, H., Yang, J. and Ceglarek, Darek (2009) A graph-based data structure for assembly dimensional variation control at a preliminary phase of product design. International Journal of Computer Integrated Manufacturing , Vol.22 (No.10). pp. 948-961. doi:10.1080/09511920902942855 ISSN 0951-192X.
Wang, Haoyi, Sanchez Silva, Victor and Li, Chang-Tsun (2021) Age-oriented face synthesis with conditional discriminator pool and adversarial triplet loss. IEEE Transactions on Image Processing, 30 . pp. 5413-5425. doi:10.1109/TIP.2021.3084106 ISSN 1057-7149.
Wang, Haoyi, Sanchez Silva, Victor and Li, Chang-Tsun (2022) Improving face-based age estimation with attention-based dynamic patch fusion. IEEE Transactions on Image Processing, 31 . pp. 1084-1096. doi:10.1109/TIP.2021.3139226 ISSN 1057-7149.
Wang, Kai, Lin, Minghong, Ciucu, Florin, Wierman, Adam and Lin, Chuang (2015) Characterizing the impact of the workload on the value of dynamic resizing in data centers. Performance Evaluation, Volume 85-86 . pp. 1-18. doi:10.1016/j.peva.2014.12.001 ISSN 0166-5316.
Wang, Li, Bhalerao, Abhir and Wilson, Roland (2007) Analysis of retinal vasculature using a multiresolution Hermite model. IEEE Transactions on Medical Imaging, Volume 26 (Number 2). pp. 137-152. doi:10.1109/TMI.2006.889732 ISSN 0278-0062.
Wang, Libo, Li, Rui, Zhang, Ce, Fang, Shenghui, Duan, Chenxi, Meng, Xiaoliang and Atkinson, Peter M. (2022) UNetFormer: A UNet-like transformer for efficient semantic segmentation of remote sensing urban scene imagery. ISPRS Journal of Photogrammetry and Remote Sensing, 190 . pp. 196-214. doi:10.1016/j.isprsjprs.2022.06.008 ISSN 0924-2716.
Wang, Rui, Zhou, Deyu and He, Yulan (2019) ATM : Adversarial-neural topic model. Information Processing & Management, 56 (6). 102098. doi:10.1016/j.ipm.2019.102098 ISSN 0306-4573.
Wang, Shen, Delavar, Mahshid, Azad, Muhammad Ajmal, Nabizadeh, Farshad, Smith, Steve and Hao, Feng (2024) Spoofing against spoofing : towards caller ID verification in heterogeneous telecommunication systems. ACM Transactions on Privacy and Security, 27 (1). pp. 1-25. doi:10.1145/3625546 ISSN 2471-2566.
Wang, Shuang and Ferhatosmanoglu, Hakan (2021) PPQ-Trajectory : spatio-temporal quantization for querying in large trajectory repositories. Proceedings of the VLDB Endowment, 14 (2). pp. 215-227. doi:10.14778/3425879.3425891 ISSN 2150-8097.
Wang, Siyi, Guo, Weisi, Zhou, Zhenyu, Wu, Yue and Chu, Xiaoli (2015) Outage probability for multi-hop D2D communications with shortest Path routing. IEEE Communications Letters, 19 (11). 1997 -2000. doi:10.1109/LCOMM.2015.2475428 ISSN 1089-7798.
Wang, Xuanxuan, Feng, Wei, Chen, Yunfei and Ge, Ning (2019) UAV swarm-enabled aerial CoMP : a physical layer security perspective. IEEE Access, 7 . pp. 120901-120916. doi:10.1109/ACCESS.2019.2936680 ISSN 2169-3536.
Wang, Yanxiang, Zhang, Xian, Shen, Yiran, Du, Bowen, Zhao, Guangrong, Cui, Lizhen and Wen , Hongkai (2022) Event-stream representation for human gaits identification using deep neural networks. IEEE Transactions on Pattern Analysis and Machine Intelligence, 44 (7). pp. 3436-3449. doi:10.1109/TPAMI.2021.3054886 ISSN 0162-8828.
Wang, Yu-Xiang, Xu, Huan and Leng, Chenlei (2019) Provable subspace clustering : when LRR meets SSC. IEEE Transaction on Information Theory, 65 (9). pp. 5406-5432. doi:10.1109/TIT.2019.2915593 ISSN 0018-9448.
Wardana, I Nyoman Kusuma, Fahmy, Suhaib A. and Gardner, J. W. (2023) TinyML models for a low-cost air quality monitoring device. Sensors Letters, 7 (11). 6007804. doi:10.1109/LSENS.2023.3315249 ISSN 2475-1472.
Wardana, I. Nyoman Kusuma , Gardner, J. W. and Fahmy, Suhaib A. (2021) Optimising deep learning at the edge for accurate hourly air quality prediction. Sensors, 21 (4). 1064. doi:10.3390/s21041064 ISSN 1424-8220.
Watson, Gregory A., Steadman, Liam, Purser, David, Kirk, Richard, Kenny, Melissa and Bradbury, Matthew S. (2019) Organising a multidisciplinary postgraduate colloquium. Exchanges: The Interdisciplinary Research Journal, 6 (2). pp. 86-101. doi:10.31273/eirj.v6i2.348 ISSN 2053-9665.
Webb, Tristan J. and Rolls, Edmund T. (2014) Deformation-specific and deformation-invariant visual object recognition : pose vs. identity recognition of people and deforming objects. Frontiers in Computational Neuroscience, Volume 8 . Article number 37. doi:10.3389/fncom.2014.00037 ISSN 1662-5188.
Wei, Chia-Hung, Li, Yue, Chau, Wing-Yin and Li, Chang-Tsun (2009) Trademark image retrieval using synthetic features for describing global shape and interior structure. Pattern Recognition, Vol.42 (No.3). pp. 386-394. doi:10.1016/j.patcog.2008.08.019 ISSN 0031-3203.
Wei, H., Feng, W., Chen, Yunfei, Wang, C -X. and Ge, N. (2020) Rethinking blockchains in the Internet of Things era from a wireless communication perspective. IEEE Network Magazine, 34 (6). pp. 24-30. doi:10.1109/MNET.021.1900624 ISSN 0890-8044.
Wei, Xingjie, Li, Chang-Tsun, Lei, Zhen, Yi, Dong and Li, S. Z. (2014) Dynamic image-to-class warping for occluded face recognition. IEEE Transactions on Information Forensics and Security, Volume 9 (Number 12). pp. 2035-2050. doi:10.1109/TIFS.2014.2359632 ISSN 1556-6013.
Wei, Zhuangkun, Guo, Weisi, Li, Bin, Charmet, Jérôme and Zhao, Chenglin (2020) High-dimensional metric combining for non-coherent molecular signal detection. IEEE Transactions on Communications, 68 (3). pp. 1479-1493. doi:10.1109/TCOMM.2019.2959354 ISSN 0090-6778.
Wen, Hongkai, Clark, Ronald, Wang, Sen, Lu, Xiaoxuan, Du, Bowen, Hu, Wen and Trigoni, Niki (2019) Efficient indoor positioning with visual experiences via lifelong learning. IEEE Transactions on Mobile Computing, 18 (4). pp. 814-829. doi:10.1109/TMC.2018.2852645 ISSN 1536-1233.
Wherton, Joseph, Sugarhood, Paul, Procter, Rob, Rouncefield, Mark, Dewsbury, Guy, Hinder, Sue and Greenhalgh, Trisha (2012) Designing assisted living technologies 'in the wild' : preliminary experiences with cultural probe methodology. BMC Medical Research Methodology, Vol.12 (No.1). Article no. 188. doi:10.1186/1471-2288-12-188 ISSN 1471-2288.
White, D. R. and Joy, Mike (2004) Sentence-based natural language plagiarism detection. ACM Journal on Educational Resources in Computing, Volume 4 (Number 4). pp. 1-20. Article number 2. doi:10.1145/1086339.1086341
Wibowo, Andi Prasetiyo, Adha, Augusta, Kurniawan, Ibnu F. and Laory, Irwanda (2022) Wall crack multiclass classification : expertise-based dataset construction and learning algorithms performance comparison. Buildings, 12 (12). 2135. doi:10.3390/buildings12122135 ISSN 2075-5309.
Willem, Annick, Scarbrough, Harry and Buelens, Marc (2008) Impact of coherent versus multiple identities on knowledge integration. Journal of Information Science, Vol.34 (No.3). pp. 370-386. doi:10.1177/0165551507086259 ISSN 0165-5515.
Willem, Armick, Buelens, Marc and Scarbrougb, Harry (2006) The role of inter-unit coordination mechanisms in knowledge sharing: a case study of a British MNC. JOURNAL OF INFORMATION SCIENCE, 32 (6). pp. 539-561. doi:10.1177/0165551506067128 ISSN 0165-5515.
Williams, Peter, Li, Sheng, Feng, Jianfeng and Wu, Si (2007) A geometrical method to improve performance of the support vector machine. IEEE Transactions on Neural Networks, Vol.18 (No.3). pp. 942-947. doi:10.1109/TNN.2007.891625 ISSN 1045-9227.
Wilson, Paul F., Stott, Janet, Warnett, Jason M., Attridge, Alex, Smith, M. Paul and Williams, M. A. (2017) Evaluation of touchable 3D-printed replicas in museums. Curator: The Museum Journal, 60 (4). pp. 445-465. doi:10.1111/cura.12244 ISSN 0011-3069.
Wilson, Paul F., Stott, Janet, Warnett, Jason M., Attridge, Alex, Smith, M. Paul and Williams, M. A. (2018) Museum visitor preference for the physical properties of 3D printed replicas. Journal of Cultural Heritage, 32 . pp. 176-185. doi:10.1016/j.culher.2018.02.002 ISSN 1296-2074.
Wilson, Roland (1981) Orthogonal multilevel pseudorandom sequences and impulse sequences derived from them. IEEE Transactions on Information Theory, 27 (3). pp. 339-342. doi:10.1109/TIT.1981.1056352 ISSN 0018-9448.
Wilson, Roland (1982) The acceptance of information, its subjective cost and the measurement of distortion. IEEE Transactions on Information Theory, Volume 28 (Number 6). pp. 967-971. doi:10.1109/TIT.1982.1056576 ISSN 0018-9448.
Wilson, Roland (1979) The probability distribution of the sum of N sampled baseband outputs of a product detector whose inputs are equal power coherent narrow-band signals in a Gaussian channel. IEEE Transactions on Communications, 27 (5). pp. 796-801. doi:10.1109/TCOM.1979.1094461
Wilson, Roland and Bhalerao, Abhir (1992) Kernel designs for efficient multiresolution edge detection and orientation estimation. IEEE Transactions on Pattern Analysis and Machine Intelligence, Volume 14 (Number 3). pp. 384-390. doi:10.1109/34.120332 ISSN 0162-8828.
Wilson, Roland and Li, Chang-Tsun (2004) A class of discrete multiresolution random fields and its application to image segmentation. IEEE Transactions on Pattern Analysis and Machine Intelligence, Volume 25 (Number 1). pp. 42-56. ISSN 0162-8828.
Wilson, Roland and Richter, J. (1979) Generation and performance of quadraphase Welti codes for radar and synchronisation of coherent and differentially coherent PSK systems. IEEE Transactions on Communications, Volume 27 (Number 9). pp. 1296-1302. doi:10.1109/TCOM.1979.1094554
Wilson, Roland and Spann, M. (1990) A new approach to clustering. Pattern Recognition, Volume 23 (Number 12). pp. 1413-1425. doi:10.1016/0031-3203(90)90087-2 ISSN 0031-3203.
Witt, William C., van der Oord, Cas, Gelžinytė, Elena, Järvinen, Teemu, Ross, Andres, Darby, James P., Ho, Cheuk Hin, Baldwin, William J., Sachs, Matthias, Kermode, James R., Bernstein, Noam, Csányi, Gábor and Ortner, Christoph (2023) ACEpotentials.jl : a Julia implementation of the atomic cluster expansion. The Journal of Chemical Physics, 159 (16). 164101. doi:10.1063/5.0158783 ISSN 0021-9606.
Wright, Louise B., Rodger, P. Mark, Walsh, Tiffany R. and Corni, Stefano (2013) First-principles-based force field for the interaction of proteins with Au(100)(5 × 1) : an extension of GolP-CHARMM. The Journal of Physical Chemistry Part C: Nanomaterials, Interfaces and Hard Matter, Volume 117 (Number 46). pp. 24292-24306. doi:10.1021/jp4061329 ISSN 1932-7447.
Wright, Steven A., Hammond, Simon D., Pennycook, Simon J., Bird, Robert F., Herdman, J. A., Miller, I., Vadgama, A., Bhalerao, Abhir and Jarvis, Stephen A. (2013) Parallel file system analysis through application I/O tracing. Computer Journal, Volume 56 (Number 2). pp. 141-155. doi:10.1093/comjnl/bxs044 ISSN 0010-4620.
Wu, F. , Chen, L., Zhao, N., Chen, Yunfei, Yu, R. F. and Wei, G. (2021) Computation over multi-access channels : multi-hop implementation and resource allocation. IEEE Transactions on Communications, 69 (2). 1038 -1052. doi:10.1109/TCOMM.2020.3034934 ISSN 0090-6778.
Wu, Fangfang, Zhang, Xiandong and Chen, Bo (2023) An improved approximation algorithm for scheduling monotonic moldable tasks. European Journal of Operational Research, 306 (2). pp. 567-578. doi:10.1016/j.ejor.2022.08.034 ISSN 0377-2217.
Wu, Guoqiang, Ning, Xin, Hou, Luyang, He, Feng, Zhang, Hengmin and Shankar, Achyut (2023) Three-dimensional Softmax mechanism guided bidirectional GRU networks for hyperspectral remote sensing image classification. Signal Processing, 212 . 109151. doi:10.1016/j.sigpro.2023.109151 ISSN 0165-1684.
Wu, Jianhua, Liu, Xuguang and Feng, Jianfeng (2008) Detecting causality between different frequencies. Journal of Neuroscience Methods, Volume 167 (Number 2). pp. 367-375. doi:10.1016/j.jneumeth.2007.08.022 ISSN 0165-0270.
Wu, W., Shi, X., He, Ligang and Jin, H. (2023) TurboGNN : improving the end-to-end performance for sampling-based GNN training on GPUs. IEEE Transactions on Computers, 72 (9). pp. 2571-2584. doi:10.1109/TC.2023.3257507 ISSN 0018-9340.
Wu, Wenchao, Shi, Xuanhua, He, Ligang and Jin, Hai (2023) TurboMGNN : improving concurrent GNN training tasks on GPU with fine-grained kernel fusion. IEEE Transactions on Parallel and Distributed Systems, 36 (6). pp. 1968-1981. doi:10.1109/tpds.2023.3267943 ISSN 1045-9219.
Wu, Wentai, He, Ligang, Lin, Weiwei, Mao, Rui, Maple, Carsten and Jarvis, Stephen A. (2021) SAFA : a semi-asynchronous protocol for fast federated learning with low overhead. IEEE Transactions on Computers, 70 (5). doi:10.1109/TC.2020.2994391 ISSN 0018-9340.
Wu, Wentai, He, Ligang, Lin, Weiwei and Maple, Carsten (2023) FedProf : selective federated learning based on distributional representation profiling. IEEE Transactions on Parallel and Distributed Systems, 34 (6). pp. 1942-1953. doi:10.1109/TPDS.2023.3265588 ISSN 1045-9219.
Wu, Wentai, He, Ligang, Lin, Weiwei, Su, Yi, Cui, Yuhua, Maple, Carsten and Jarvis, Stephen A. (2022) Developing an unsupervised real-time anomaly detection scheme for time series with multi-seasonality. IEEE Transactions on Knowledge and Data Engineering, 34 (9). pp. 4147-4160. doi:10.1109/TKDE.2020.3035685 ISSN 1041-4347.
Wu, Wentai, Lin, Weiwei, He, Ligang, Wu, Guangxin and Hsu, Ching-Hsien (2021) A power consumption model for cloud servers based on Elman Neural Network. IEEE Transactions on Cloud Computing, 9 (4). pp. 1268-1277. doi:10.1109/TCC.2019.2922379 ISSN 2372-0018.
Xiang, Yang, Smith, James and Kroes, Jeff (2011) Multiagent bayesian forecasting of structural time-invariant dynamic systems with graphical models. International Journal of Approximate Reasoning, Vol.52 (No.7). pp. 960-977. doi:10.1016/j.ijar.2010.07.004 ISSN 0888-613X.
Xiao, Dannier, Dianati, Mehrdad, Geiger, William Goncalves and Woodman, Roger (2023) Review of graph-based hazardous event detection methods for autonomous driving systems. IEEE Transactions on Intelligent Transportation Systems, 24 (5). pp. 4697-4715. doi:10.1109/TITS.2023.3240104 ISSN 1524-9050.
Xin, Guan, Li, Chang-Tsun and Yu, Guan (2017) Matrix factorization with rating completion : an enhanced SVD Model for collaborative filtering recommender systems. IEEE Access, 5 . pp. 27668-27678. doi:10.1109/ACCESS.2017.2772226 ISSN 2169-3536.
Xu, Jinsheng, Zhao, Jian, Li, Sheng and Xu, Tianhua (2022) Optical performance monitoring in transparent fiber-optic networks using neural networks and asynchronous amplitude histograms. Optics Communications, 517 . 128305. doi:10.1016/j.optcom.2022.128305 ISSN 0030-4018.
Xu, Longzhou, Feng, Jianfeng and Yu, Lianchun (2022) Avalanche criticality in individuals, fluid intelligence, and working memory. Human Brain Mapping, 43 (8). pp. 2534-2553. doi:10.1002/hbm.25802 ISSN 1065-9471.
Xu, Ruowen, Millo, Yuval and Spence, Crawford (2023) The mountains are high and the Emperor is far away : credit scoring and the infrastructure of surveillance capitalism in China. Contemporary Accounting Research . doi:10.1111/1911-3846.12925 ISSN 0823-9150. (In Press)
Xu, Wenping, Hong, Liu, He, Ligang, Wang, Shuliang and Chen, Xueguang (2011) Supply-driven dynamic inoperability input-output price model for interdependent infrastructure systems. Journal of Infrastructure Systems, Vol.17 (No.4). pp. 151-162. doi:10.1061/(ASCE)IS.1943-555X.0000058 ISSN 1076-0342.
Xue, James and Jarvis, Stephen A. (2018) Mining association rules for admission control and service differentiation in e-commerce applications. Wiley Interdisciplinary Reviews: Data Mining and Knowledge Discovery, 8 (3). e1241. doi:10.1002/widm.1241 ISSN 1942-4787.
Yan, Hanqi, Gui, Lin and He, Yulan (2022) Hierarchical interpretation of neural text classification. Computational Linguistics, 48 (4). pp. 987-1020. doi:10.1162/coli_a_00459 ISSN 0891-2017.
Yan, Hanqi, Gui, Lin, Wang, Menghan, Zhang, Kun and He, Yulan (2024) Explainable recommender with geometric information bottleneck. IEEE Transactions on Knowledge and Data Engineering . doi:10.1109/tkde.2024.3350447 ISSN 1041-4347. (In Press)
Yang, Bo, Rosa, Stefano, Markham, Andrew, Trigoni, Niki and Wen, Hongkai (2019) Dense 3D object reconstruction from a single depth view. IEEE Transactions on Pattern Analysis and Machine Intelligence, 41 (12). pp. 2820-2834. doi:10.1109/TPAMI.2018.2868195 ISSN 0162-8828.
Yang, Bowei, Guo, Weisi, Chen, Bozhong, Yang, Guangpu and Zhang, Jie (2016) Estimating mobile traffic demand using Twitter. IEEE Wireless Communications Letters, 5 (4). pp. 380-383. doi:10.1109/LWC.2016.2561924 ISSN 2162-2337.
Yang, Shanshan, (Researcher in computer science) and Joy, Mike (2007) Approaches for learning prolog programming. Italics, Volume 6 (Number 4). pp. 88-107. ISSN 1473-7507.
Yang, Shanshan, (Researcher in computer science) and Joy, Mike (2009) Designing e-learning services : a case study. International Journal of Advanced Corporate Learning (iJAC), Volume 2 (Number 4). pp. 35-43. doi:10.3991/ijac.v2i4.1061 ISSN 1867-5565.
Yang, Y. (Ying), Sun, Xingming, Yang, Hengfu, Li, Chang-Tsun and Xiao, R. (Rong) (2009) A contrast-sensitive reversible visible image watermarking technique. IEEE Transactions on Circuits and Systems for Video Technology, Vol.19 (No.5). pp. 656-667. doi:10.1109/TCSVT.2009.2017401 ISSN 1051-8215.
Yang, Ying, Sun, Xingming, Yang, Hengfu and Li, Chang-Tsun (2008) Removable visible image watermarking algorithm in the discrete cosine transform domain. Journal of Electronic Imaging, Volume 17 (Number 3). doi:10.1117/1.2952843 ISSN 1017-9909.
Yang, Ziying, He, Wenyan, Fan, Xijian and Tjahjadi, Tardi (2022) PlantNet : transfer learning-based fine-grained network for high-throughput plants recognition. Soft Computing, 26 . pp. 10581-10590. doi:10.1007/s00500-021-06689-y ISSN 1432-7643.
Yap, Kevin Yi-Lwern, Chan, Alexandre, Low, Xiu Hui and Chui, Wai Keung (2010) Clinical predictors of chemotherapy-induced nausea and vomiting through identification of principal variables in patients undergoing AC and XELOX regimens. Supportive Care In Cancer, Vo.18 (Suppl.3). S142-S142. doi:10.1007/s00520-010-0891-0 ISSN 0941-4355.
Yap, Kevin Yi-Lwern, Chen, Yu Zong, Chui, Wai Keung and Chan, Alexandre (2009) Oncoinformatics for the healthcare professional: oncology databases and blogs. The Internet Journal of Oncology, Vol.6 (No.1). doi:10.5580/b6b ISSN 1528-8331.
Yap, Kevin Yi-Lwern, Chuang, Xuejin, Lee, Alvin Jun Ming, Lee, Raemarie Zejin, Lim, Lijuan, Lim, Jeanette Jiahui and Nimesha, Ranasinghe (2009) Pharmaco-cybernetics as an interactive component of pharma-culture: empowering drug knowledge through user-, experience- and activity-centered designs. International Journal of Computer Science Issues, Vol.3 . pp. 1-13. ISSN 1694-0784.
Yap, Kevin Yi-Lwern, Ho, Yasmin Xiu Xiu, Chui, Wai Keung and Chan, Alexandre (2010) Harnessing the internet cloud for managing drug interactions with chemotherapy regimens in patients with cancer suffering from depression. Acta Oncologica, Vol.49 (No.8). pp. 1235-1245. doi:10.3109/02841861003801130 ISSN 0284-186X.
Yap, Kevin Yi-Lwern, See, Cheng Shang, Chan, Alexandre and Chui, Wai Keung (2010) Transcending chemotherapy regimen interactions with complementary and alternative medicines through 3G networks: OncoRx-MI for the mobile internet. Supportive Care In Cancer, Vo.18 (Suppl.3). S93-S93. doi:10.1007/s00520-010-0891-0 ISSN 0941-4355.
Yaseen, Adiba, Amin, Imran, Akhter, Naeem, Ben-Hur, Asa and Minhas, Fayyaz ul Amir Afsar (2022) Insights into performance evaluation of compound–protein interaction prediction methods. Bioinformatics, 38 (Supplement 2). ii75-ii81. doi:10.1093/bioinformatics/btac496 ISSN 1460-2059.
Yates, J. W. T., Chappella, M. J., Gardner, J. W., Dow, Crawford S., Dowson, C., Hammood, A., Bolt, F. and Beeby, L. (2005) Data reduction in headspace analysis of blood and urine samples for robust bacterial identification. Computer Methods and Programs in Biomedicine, 79 (3). pp. 259-271. doi:10.1016/j.cmpb.2005.04.003 ISSN 0169-2607.
Yau, Jane Yin-Kim and Joy, Mike (2010) Context-based recommendations of Java learning objects : a case study. IEEE Multidisciplinary Engineering Education Magazine, Vol.5 (No.4). pp. 1-7. ISSN 1867-5565.
Yau, Jane Yin-Kim and Joy, Mike (2010) Proposal of a mobile learning preferences model. International Journal of Interactive Mobile Technologies (iJIM), Volume 4 (Number 4). pp. 49-51. doi:10.3991/ijim.v4i4.1445 ISSN 1865-7923 .
Yau, Jane Yin-Kim and Joy, Mike (2010) An adaptive context-aware mobile learning framework based on the usability perspective. International Journal of Mobile Learning and Organisation, Volume 4 (Number 4). pp. 378-390. doi:10.1504/IJMLO.2010.037535 ISSN 1746-725X.
Yau, Jane Yin-Kim and Joy, Mike (2011) A context-aware personalised m-learning application based on m-learning preferences. International Journal of Mobile Learning and Organisation, Vol.5 (No.1). pp. 1-14. doi:10.1504/IJMLO.2011.038688 ISSN 1746-725X.
Yau, Jane Yin-Kim and Joy, Mike (2009) A mobile context-aware framework for managing learning schedules : data analysis from an interview study. International Journal of Mobile and Blended Learning, Vol.1 (No.4). pp. 29-55. doi:10.4018/jmbl.2009090803 ISSN 1941-8647.
Yau, Jane Yin-Kim and Joy, Mike (2008) A self-regulated learning approach : a mobile context-aware and adaptive learning schedule (mCALS) tool. International Journal of Interactive Mobile Technologies (iJIM), Volume 2 (Number 3). pp. 52-57. ISSN 1865-7923.
Yau, Jane Yin-Kim, Joy, Mike and Dickert, Stephan (2010) A mobile context-aware framework for managing learning schedules - data analysis from a diary study. Educational Technology and Society, Vol.13 (No.3). pp. 22-32. ISSN 1436-4522.
Yau, Jane Yin-Kim, Joy, Mike, Sinclair, Jane and Hagan, S. J. (2012) Potential deployment of Java web-based learning objects from the public domain into mobile learning environments. International Journal of Science, Innovation and New Technology, Vol.1 (No.3). ISSN 2223-2257.
Yazdani, D., Cheng, R. and Branke, Jürgen (2022) Adaptive control of sub-populations in evolutionary dynamic optimization. IEEE Transactions on Cybernetics, 52 (7). pp. 6476-6489. doi:10.1109/TCYB.2020.3036100 ISSN 2168-2267.
Yazdani, Danial, Omidvar, Mohammad Nabi, Cheng, Ran, Branke, Jürgen, Nguyen, Trung Thanh and Yao, Xin (2022) Benchmarking continuous dynamic optimization : survey and generalized test suite. IEEE Transactions on Cybernetics, 52 (5). 3380 -3393. doi:10.1109/TCYB.2020.3011828 ISSN 2168-2267.
Yazdani, Danial, Omidvar, Nabi, Branke, Jürgen, Nguyen, Trung Thanh and Yao, Xin (2020) Scaling up dynamic optimization problems : a divide-and-conquer approach. IEEE Transactions on Evolutionary Computation, 24 (1). pp. 1-15. doi:10.1109/TEVC.2019.2902626 ISSN 1089-778X.
Yazdani, Danial , Nguyen, Trung Thanh and Branke, Jürgen (2019) Robust optimization over time by learning problem space characteristics. IEEE Transactions on Evolutionary Computation, 23 (1). pp. 143-155. doi:10.1109/TEVC.2018.2843566 ISSN 1941-0026.
Yazdani, Danial , Yazdani, Donya, Branke, Juergen, Nabi Omidvar, Mohammad, Gandomi, Amir Hossein and Yao, Xin (2022) Robust optimization over time by estimating robustness of promising regions. IEEE Transactions on Evolutionary Computation, 27 (3). pp. 657-670. doi:10.1109/TEVC.2022.3180590 ISSN 1089-778X.
Yi, Dewei, Su, Jinya, Hu, Liang, Liu, Cunjia, Quddus, Mohammed, Dianati, Mehrdad and Chen, Wen-Hua (2020) Implicit personalization in driving assistance : state-of-the-art and open issues. IEEE Transactions on Intelligent Vehicles, 5 (3). pp. 397-413. doi:10.1109/TIV.2019.2960935 ISSN 2379-8858.
Yi, Xun, Rao, Fang-Yu, Tari, Zahir, Hao, Feng, Bertino, Elisa, Khalil, Ibrahim and Zomaya, Albert Y. (2016) ID2S password-authenticated key exchange protocols. IEEE Transactions on Computers, 65 (12). pp. 3687-3701. doi:10.1109/TC.2016.2553031 ISSN 0018-9340.
Yi, Xun, Tari, Zahir, Hao, Feng, Chen, Liqun, Liu, Joseph K., Yang, Xuechao, Lam, Kwok-Yan, Khalil, Ibrahim and Zomaya, Albert Y. (2019) Efficient threshold password-authenticated secret sharing protocols for cloud computing. Journal of Parallel and Distributed Computing, 128 . pp. 57-70. doi:10.1016/j.jpdc.2019.01.013 ISSN 0743-7315.
Yilmaz, Emre, Ferhatosmanoglu, Hakan, Ayday, Erman and Aksoy, Remzi Can (2019) Privacy-preserving aggregate queries for optimal location selection. IEEE Transactions on Dependable and Secure Computing, 16 (2). pp. 329-343. doi:10.1109/TDSC.2017.2693986 ISSN 1545-5971.
Yin, Xiuxing and Zhao, Xiaowei (2019) Big data driven multi-objective predictions for offshore wind farm based on machine learning algorithms. Energy, 186 . 115704. doi:10.1016/j.energy.2019.07.034 ISSN 0360-5442.
Yin, Xiuxing and Zhao, Xiaowei (2021) Deep neural learning based distributed predictive control for offshore wind farm using high fidelity LES data. IEEE Transactions on Industrial Electronics, 68 (4). pp. 3251-3261. doi:10.1109/TIE.2020.2979560 ISSN 0278-0046.
Yin, Xiuxing , Zhao, Xiaowei, Lin, Jin and Karcanias, Aris (2020) Reliability aware multi-objective predictive control for wind farm based on machine learning and heuristic optimizations. Energy, 202 . 117739. doi:10.1016/j.energy.2020.117739 ISSN 0360-5442.
Ying, Li and Hills, Thomas Trenholm (2021) Language patterns of outgroup prejudice. Cognition, 215 . 104813. doi:10.1016/j.cognition.2021.104813 ISSN 0010-0277.
Yolles, Maurice, Fink, Gerhard and Dauber, Daniel (2011) Organisations as emergent normative personalities: part 1, the concepts. Kybernetes, Vol.40 (No.5). pp. 635-669. doi:10.1108/03684921111142223 ISSN 0368-492X.
Yoo, Youngjin (2010) Computing in everyday life : a call for research on experiential computing. MIS Quarterly , Volume 34 (Number 2). pp. 213-231. ISSN 0276-7783.
Yu, Andy C., Martin, Graham R. and Park, Heechan (2008) Fast inter-mode selection in the H.264/AVC standard using a hierarchical decision process. IEEE Transactions on Circuits and Systems for Video Technology , Vol.18 (No.2). pp. 186-195. doi:10.1109/TCSVT.2007.913970 ISSN 1051-8215.
Yu, Andy C., Ngi, Ngan King and Martin, Graham R. (2006) Efficient intra- and inter-mode selection algorithms for H.264/AVC. Journal of Visual Communication and Image Representation, Volume 17 (Number 2). pp. 322-344. doi:10.1016/j.jvcir.2005.05.006 ISSN 1047-3203.
Yu, Weiren, Iranmanesh, Sima, Haldar, Aparajita, Zhang, Maoyin and Ferhatosmanoglu, Hakan (2022) RoleSim* : scaling axiomatic role-based similarity ranking on large graphs. World Wide Web, 25 (2). pp. 785-829. doi:10.1007/s11280-021-00925-z ISSN 1573-1413.
Yu, Weiren, Lin, Xuemin, Zhang, Wenjie, Chang, Lijun and Pei, Jian (2013) More is simpler : effectively and efficiently assessing node-pair similarities based on hyperlinks. Proceedings of the VLDB Endowment, 7 (1). pp. 13-24. doi:10.14778/2732219.2732221 ISSN 2150-8097.
Yu, Weiren, Lin, Xuemin, Zhang, Wenjie and McCann, Julie A. (2015) Fast all-pairs SimRank assessment on large graphs and bipartite domains. IEEE Transactions on Knowledge and Data Engineering, 27 (7). pp. 1810-1823. doi:10.1109/TKDE.2014.2339828 ISSN 1041-4347.
Yu, Weiren and McCann, Julie A. (2015) Efficient partial-pairs simrank search on large networks. Proceedings of the VLDB Endowment, 8 (5). pp. 569-580. doi:10.14778/2735479.2735489 ISSN 2150-8097.
Yuan, Yinyin and Li, Chang-Tsun (2008) A Bayes random field approach for integrative large-scale regulatory network analysis. Journal of Integrative Bioinformatics, Vol.5 (No.2). doi:10.2390/biecoll-jib-2008-99 ISSN 1613-4516.
Zachos, Ioannis, Damoulas, Theodoros and Girolami, Mark (2024) Table inference for combinatorial origin-destination choices in agent-based population synthesis. Stat, 13 (1). e656. doi:10.1002/sta4.656 ISSN 2049-1573.
Zaheer, Qasim, Yonggang, Tan and Qamar, Furqan (2022) Literature review of bridge structure's optimization and it's development over time. International Journal for Simulation and Multidisciplinary Design Optimization, 13 . 5. doi:10.1051/smdo/2021039 ISSN 1779-6288.
Zamanitajeddin, Neda, Jahanifar, Mostafa, Bilal, Mohsin, Eastwood, Mark and Rajpoot, Nasir M. (2024) Social network analysis of cell networks improves deep learning for prediction of molecular pathways and key mutations in colorectal cancer. Medical Image Analysis, 93 . 103071. doi:10.1016/j.media.2023.103071 ISSN 1361-8415.
Zanella, Giacomo and Roberts, Gareth O. (2019) Scalable importance tempering and Bayesian variable selection. Journal of the Royal Statistical Society : Series B (Statistical Methodology), 81 (3). pp. 489-517. doi:10.1111/rssb.12316 ISSN 1369-7412.
Zavvos, Efstathios, Gerding, Enrico H., Yazdanpanah, Vahid, Maple, Carsten, Steinhorst, Sebastian and Schraefel, M.C. (2022) Privacy and trust in the internet of vehicles. IEEE Transactions on Intelligent Transportation Systems, 23 (8). pp. 10126-10141. doi:10.1109/TITS.2021.3121125 ISSN 1524-9050.
Zeng, Jichuan, Li, Jing, He, Yulan, Gao, Cuiyun, Lyu, Michael and King, Irwin (2019) What you say and how you say it : joint modeling of topics and discourse in microblog conversations. Transactions of the Association for Computational Linguistics, 7 . pp. 267-281. doi:10.1162/tacl_a_00267 ISSN 2307-387X.
Zeng, Jing and Glaister, Keith W. (2017) Value creation from big data : looking inside the black box. Strategic Organization, 16 (2). pp. 105-140. doi:10.1177/1476127017697510 ISSN 1476-1270.
Zhang, Dongyang, Joy, Mike, Cosma, Georgina, Boyatt, Russell, Sinclair, Jane and Yau, Jane Yin-Kim (2013) Source-code plagiarism in universities : a comparative study of student perspectives in China and the UK. Assessment & Evaluation in Higher Education, Volume 39 (Number 6). pp. 743-458. doi:10.1080/02602938.2013.870122 ISSN 0260-2938.
Zhang, H., Luo, Wen-Lin and Nichols, Thomas E. (2006) Diagnosis of single-subject and group fMRI data with SPMd. Human Brain Mapping, Vol.27 (No.5). pp. 442-451. doi:10.1002/hbm.20253 ISSN 1065-9471.
Zhang, Haoyu, Chen, Li, Zhao, Nan, Chen, Yunfei and Yu, F. Richard (2022) Interference management of analog function computation in multi-cluster networks. IEEE Transactions on Communications, 70 (7). pp. 4607-4623. doi:10.1109/TCOMM.2022.3172996 ISSN 0090-6778.
Zhang, Jincheng and Zhao, Xiaowei (2023) Digital twin of wind farms via physics-informed deep learning. Energy Conversion and Management, 293 . 117507. doi:10.1016/j.enconman.2023.117507 ISSN 0196-8904.
Zhang, Jincheng and Zhao, Xiaowei (2021) Machine-learning-based surrogate modeling of aerodynamic flow around distributed structures. AIAA Journal, 59 (3). pp. 868-879. doi:10.2514/1.J059877 ISSN 0001-1452.
Zhang, Jincheng and Zhao, Xiaowei (2022) Wind farm wake modeling based on deep convolutional conditional generative adversarial network. Energy, 238 (Part B). 121747. doi:10.1016/j.energy.2021.121747 ISSN 0360-5442.
Zhang, Jincheng, Zhao, Xiaowei and Wei, Xing (2020) Reinforcement learning-based structural control of floating wind turbines. IEEE Transactions on Systems, Man, and Cybernetics: Systems . pp. 1-11. doi:10.1109/TSMC.2020.3032622 ISSN 2168-2216.
Zhang, Juping, Zheng, Gan, Zhang, Yangyishi, Krikidis, Ioannis and Wong, Kai-Kit (2023) Deep learning based predictive beamforming design. IEEE Transactions on Vehicular Technology, 72 (6). pp. 8122-8127. doi:10.1109/tvt.2023.3238108 ISSN 0018-9545.
Zhang, Liang, Qiu, Feiyang, Hao, Feng and Kan, Haibin (2022) 1-round distributed key generation with efficient reconstruction using decentralized CP-ABE. IEEE Transactions on Information Forensics and Security, 17 . pp. 894-907. doi:10.1109/TIFS.2022.3152356 ISSN 1556-6013.
Zhang, Linhai, Lin, Chao, Zhou, Deyu, He, Yulan and Zhang, Meng (2021) A Bayesian end-to-end model with estimated uncertainties for simple question answering over knowledge bases. Computer Speech & Language, 66 . 101167. doi:10.1016/j.csl.2020.101167 ISSN 0885-2308.
Zhang, Qiang, Bhalerao, Abhir, Parsons, Caron, Helm, Emma J. and Hutchinson, Charles E. (2016) Wavelet appearance pyramids for landmark detection and pathology classification : application to lumbar spinal stenosis. Medical Image Computing and Computer-Assisted Intervention – MICCAI 2016 : 19th International Conference, Athens, Greece, October 17-21, 2016, Proceedings, Part II . pp. 274-282. doi:10.1007/978-3-319-46723-8_32 ISSN 0302-9743.
Zhang, Yuning and Li, Shengcai (2010) Virtual grid-based front tracking method. Engineering Computations, Vol.27 (No.8). pp. 896-908. doi:10.1108/02644401011082944 ISSN 0264-4401.
Zhang, Zhewei, Lindberg, Aron, Lyytinen, Kalle and Yoo, Youngjin (2021) The unknowability of autonomous tools and the liminal experience of their use. Information Systems Research, 32 (4). pp. 1192-1213. doi:10.1287/isre.2021.1022 ISSN 1047-7047.
Zhao, Guangron, Shen, Yiran, Chen, Ning, Hu, Pengfei, Liu, Lei and Wen, Hongkai (2024) EV-Tach : a handheld rotational speed estimation system with event camera. IEEE Transaction on Mobile Computing . ISSN 1536-1233. (In Press)
Zhao, Huan, Han, Li, Liu, Yunpeng and Liu, Xianping (2021) Modelling and interaction analysis of the self-pierce riveting process using regression analysis and FEA. International Journal of Advanced Manufacturing Technology, 113 . pp. 159-176. doi:10.1007/s00170-020-06519-9 ISSN 0268-3768.
Zhao, Huan, Han, Li, Liu, Yunpeng and Liu, Xianping (2021) Quality prediction and rivet/die selection for SPR joints with artificial neural network and genetic algorithm. Journal of Manufacturing Processes, 66 . pp. 574-594. doi:10.1016/j.jmapro.2021.04.033 ISSN 1526-6125.
Zhao, Lei and Jarvis, Stephen A. (2007) Predictive performance modelling of parallel component compositions. Cluster Computing, Volume 10 (Number 2). pp. 155-166. doi:10.1007/s10586-007-0010-2 ISSN 1386-7857.
(2021) Advances and open problems in federated learning. Foundations and Trends® in Machine Learning, 14 (1–2). pp. 1-210. doi:10.1561/2200000083 ISSN 1935-8237.
Zhao, Wayne Xin, Wang, Jinpeng, He, Yulan, Nie, Jian-Yun, Wen, Ji-Rong and Li, Xiaoming (2015) Incorporating social role theory into topic models for social media content analysis. IEEE Transactions on Knowledge and Data Engineering, 27 (4). pp. 1032-1044. doi:10.1109/TKDE.2014.2359672 ISSN 1041-4347.
Zhao, Wayne Xin, Wang, Jinpeng, He, Yulan, Wen, Ji-Rong, Chang, Edward Y. and Li, Xiaoming (2016) Mining product adopter information from online reviews for improving product recommendation. ACM Transactions on Knowledge Discovery from Data (TKDD), 10 (3). pp. 1-23. 29. doi:10.1145/2842629 ISSN 1556-4681.
Zhao, Wayne Xin, Zhang, Wenhui, He, Yulan, Xie, Xing and Wen, Ji-Rong (2018) Automatically learning topics and difficulty levels of problems in online judge systems. ACM Transactions on Information Systems, 36 (3). pp. 1-34. 27. doi:10.1145/3158670 ISSN 1046-8188.
Zhao, Xingyu, Gerasimou, Simos, Calinescu, Radu, Imrie, Calum, Robu, Valentin and Flynn, Valentin (2023) Bayesian learning for the robust verification of autonomous robots. Communications Engineering, 3 . 18. doi:10.1038/s44172-024-00162-y ISSN 2731-3395.
Zhao, Yuheng, Zhang, Yixing, Zhang, Yu, Zhao, Xinyi, Wang, Junjie, Shao, Zekai, Turkay, Cagatay and Chen, Siming (2024) LEVA : Using large language models to enhance visual analytics. IEEE Transactions on Visualization and Computer Graphics . pp. 1-17. doi:10.1109/TVCG.2024.3368060 ISSN 1077-2626. (In Press)
Zheng, Zhigao, Shi, Xuanhua, He, Ligang, Jin, Hai, Wei, Shuo, Dai, Hulin and Peng, Xuan (2021) Feluca : A two-stage graph coloring algorithm with color-centric paradigm on GPU. IEEE Transactions on Parallel and Distributed Systems, 32 (1). pp. 160-173. doi:10.1109/TPDS.2020.3014173 ISSN 1045-9219.
Zhou, Deyu, Miao, Lei and He, Yulan (2018) Position-aware deep multi-task learning for drug–drug interaction extraction. Artificial Intelligence in Medicine, 87 . pp. 1-8. doi:10.1016/j.artmed.2018.03.001 ISSN 0933-3657.
Zhou, Deyu, Zhang, Meng, Yang, Yang and He, Yulan (2021) Hierarchical state recurrent neural network for social emotion ranking. Computer Speech & Language, 68 . 101177. doi:10.1016/j.csl.2020.101177 ISSN 0885-2308 .
Zhou, Deyu, Zhang, Zhikai, Zhang, Min-Ling and He, Yulan (2018) Weakly supervised POS tagging without disambiguation. ACM Transactions on Asian and Low-Resource Language Information Processing, 17 (4). 35. doi:10.1145/3214707 ISSN 2375-4699.
Zhou, Linyi, Fan, Xijian, Tjahjadi, Tardi and Das Choudhury, Sruti (2022) Discriminative attention-augmented feature learning for facial expression recognition in the wild. Neural Computing and Applications, 34 . pp. 925-936. doi:10.1007/s00521-021-06045-z ISSN 0941-0643.
Zhou, Siyang, Yin, Zhendong, Wu, Zhilu, Chen, Yunfei, Zhao, Nan and Yang, Zhutian (2019) A robust modulation classification method using convolutional neural networks. Eurasip Journal on Advances in Signal Processing (21). doi:10.1186/s13634-019-0616-6 ISSN 1687-6172.
Zhou, Yujue, He, Ligang and Yang, Shuang‐Hua (2021) Developing normalization schemes for data isolated distributed deep learning. IET Cyber-Physical Systems: Theory & Applications, 6 (3). pp. 105-115. doi:10.1049/cps2.12004 ISSN 2398-3396.
Zhou, Yujue, Jiang, Jie, Yang, Shuang-Hua, He, Ligang and Ding, Yulong (2021) MuSDRI : Multi-seasonal decomposition based recurrent imputation for time series. IEEE Sensors Journal, 21 (20). pp. 23213-23223. doi:10.1109/jsen.2021.3107836 ISSN 1558-1748.
Zhou, Zhemin, Alikhan, Nabil-Fareed, Sergeant, Martin J., Luhmann, Nina, Vaz, Cátia, Francisco, Alexandre P. , Carriço, João André and Achtman, Mark (2018) GrapeTree : visualization of core genomic relationships among 100,000 bacterial pathogens. Genome Research, 28 (10). pp. 1395-1404. doi:10.1101/gr.232397.117 ISSN 1088-9051.
Zhu, H., Gu, Z., Zhao, H., Chen, K., Li, Chang-Tsun and He, Ligang (2018) Developing a pattern discovery method in time series data and its GPU acceleration. Big Data Mining and Analytics, 1 (4). pp. 266-283. doi:10.26599/BDMA.2018.9020021 ISSN 2096-0654.
Zhu, Hongming, Tan, Rui, Han, Letong, Fan, Hongfei, Wang, Zeju, Du, Bowen, Liu, Sicong and Liu, Qin (2022) DSSM : a deep neural network with spectrum separable module for multi-spectral remote sensing image segmentation. Remote Sensing, 14 (4). e818. doi:10.3390/rs14040818 ISSN 2072-4292.
Zhu, Hongtao, Chen, Li, Zhao, Nan, Chen, Yunfei, Wang, Weidong and Yu, F. Richard (2022) Hierarchical coded matrix multiplication in heterogeneous multi-hop networks. IEEE Transactions on Communications, 70 (6). pp. 3597-3612. doi:10.1109/TCOMM.2022.3164056 ISSN 0090-6778.
Zhu, Huanzhou, He, Ligang, Fu, Songling, Li, Rui, Han, Xie, Fu, Zhangjie, Hu, Yongjian and Li, Chang-Tsun (2017) WolfPath : accelerating iterative traversing-based graph processing algorithms on GPU. International Journal of Parallel Programming . doi:10.1007/s10766-017-0533-y ISSN 0885-7458.
Zhu, Huanzhou, He, Ligang, Leeke, Matthew and Mao, Rui (2020) WolfGraph : the edge-centric graph processing on GPU. Future Generation Computer Systems, 111 . pp. 552-569. doi:10.1016/j.future.2019.09.052 ISSN 0167-739X.
Zhu, Lei, Song, Jiayu, Yu, Weiren, Zhang, Chengyuan, Yu, Hao and Zhang, Zuping (2020) Reverse spatial visual top-k query. IEEE Access, 8 (1). pp. 21770-21787. doi:10.1109/ACCESS.2020.2968982 ISSN 2169-3536.
Zhu, Lixing, He, Yulan and Zhou, Deyu (2019) Hierarchical viewpoint discovery from tweets using Bayesian modelling. Expert Systems with Applications, 116 . pp. 430-438. doi:10.1016/j.eswa.2018.09.028 ISSN 0957-4174.
Zhu, Lixing, He, Yulan and Zhou, Deyu (2020) Neural opinion dynamics model for the prediction of user-level stance dynamics. Information Processing & Management, 57 (2). 102031. doi:10.1016/j.ipm.2019.03.010 ISSN 0306-4573.
Zhu, Lixing, He, Yulan and Zhou, Deyu (2020) A neural generative model for joint learning topics and topic-specific word embeddings. Transactions of the Association for Computational Linguistics, 8 . pp. 471-485. doi:10.1162/tacl_a_00326 ISSN 2307-387X.
Zhu, Xiangyuan, Xiao, Xiaoming, Tjahjadi, Tardi, Wu, Zhihu and Tany, Jin (2019) Image enhancement using fuzzy intensity measure and adaptive clipping histogram equalization. IAENG International Journal of Computer Science, 46 (3). pp. 395-408. 02. ISSN 1819-656X.
Zhu, Yimie and Procter, Rob (2015) Use of blogs, Twitter and Facebook by UK PhD Students for Scholarly Communication. Observatorio, 9 (2). pp. 29-46. ISSN 1646-5954.
Zormpas-Petridis, Konstantinos , Failmezger, Henrik , Raza, Shan E. Ahmed, Roxanis, Ioannis , Jamin, Yann and Yuan, Yinyin (2019) Superpixel-based conditional random fields (SuperCRF) : incorporating global and local context for enhanced deep learning in melanoma histopathology. Frontiers in Oncology, 9 . 1045. doi:10.3389/fonc.2019.01045 ISSN 2234-943X.
Zou, Cunlu, Denby, Katherine J. and Feng, Jianfeng (2009) Granger causality vs. dynamic Bayesian network inference: a comparative study. BMC Bioinformatics, Vol.10 . Article number 122. doi:10.1186/1471-2105-10-122 ISSN 1471-2105.
Zubiaga, Arkaitz, Ahmet, Aker, Bontcheva, Kalina, Liakata, Maria and Procter, Rob (2018) Detection and resolution of rumours in social media : a survey. ACM Computing Surveys, 51 (2). pp. 32-67. ISSN 0360-0300.
Zubiaga, Arkaitz, Kochkina, Elena, Liakata, Maria, Procter, Rob, Lukasik, Michal, Bontcheva, Kalina, Cohn, Trevor and Augenstein, Isabelle (2018) Discourse-aware rumour stance classification in social media using sequential classifiers. Information Processing & Management, 54 (2). pp. 273-290. doi:10.1016/j.ipm.2017.11.009 ISSN 0306-4573.
Zubiaga, Arkaitz, Procter, Rob and Maple, Carsten (2018) A longitudinal analysis of the public perception of the opportunities and challenges of the Internet of Things. PLoS One, 13 (12). e0209472. doi:10.1371/journal.pone.0209472 ISSN 1932-6203.
Zubiaga, Arkaitz, Vicente, Iñaki San, Gamallo, Pablo, Pichel, José Ramom, Alegria, Iñaki, Aranberri, Nora, Ezeiza, Aitzol and Fresno, Víctor (2016) TweetLID : a benchmark for tweet language identification. Language Resources and Evaluation, 50 (4). pp. 729-766. doi:10.1007/s10579-015-9317-4 ISSN 1574-020X.
Zubiaga, Arkaitz, Wang, Bo, Liakata, Maria and Procter, Rob (2019) Political homophily in independence movements : analysing and classifying social media users by national identity. IEEE Intelligent Systems , 34 (6). pp. 34-42. doi:10.1109/MIS.2019.2958393 ISSN 1541-1672.
Zuo, Yiping, Guo, Jiajia, Gao, Ning, Zhu, Yongxu, Jin, Shi and Li, Xiao (2023) A survey of blockchain and artificial intelligence for 6G wireless communications. IEEE Communications Surveys & Tutorials, 25 (4). pp. 2494-2528. doi:10.1109/comst.2023.3315374 ISSN 1553-877X.
Zwick, Uri and Paterson, Michael S. (1993) The memory game. Theoretical Computer Science, Volume 110 (Number 1). pp. 169-196. doi:10.1016/0304-3975(93)90355-W ISSN 0304-3975.
al-Khateeb, Haider, Epiphaniou, Gregory, Reviczky, Adam, Karadimas, Petros and Heidari, Hadi (2018) Proactive threat detection for connected cars using recursive Bayesian estimation. IEEE Sensors Journal, 18 (12). pp. 4822-4831. doi:10.1109/JSEN.2017.2782751 ISSN 1530-437X.
de Assis , Luiz Fernando F. G., Horita, Flávio Eduardo A., de Freitas, Edison P., Ueyama, Jó and Albuquerque, João Porto de (2018) A service-oriented middleware for integrated management of crowdsourced and sensor data streams in disaster management. Sensors, 18 (6). 1689. doi:10.3390/s18061689 ISSN 1424-8220.
de Castro Ribeiro, Mateus Gheorghe, Kubrusly, Alan Conci, Ayala, Helon Vicente Hultmann and Dixon, Steve M. (2021) Machine learning-based corrosion-like defect estimation with shear-horizontal guided waves improved by mode separation. IEEE Access, 9 . pp. 40836-40849. doi:10.1109/ACCESS.2021.3063736 ISSN 2169-3536.
van Lon, Rinde R. S., Branke, Jürgen and Holvoet, Tom (2018) Optimizing agents with genetic programming : an evaluation of hyper-heuristics in dynamic real-time logistics. Genetic Programming and Evolvable Machines, 19 (1-2). pp. 93-120. doi:10.1007/s10710-017-9300-5 ISSN 1389-2576.
von Tottleben, M., Grinyer, K., Arfa, A., Traore, L., Verdoy, D., Lim Choi Keung, Sarah N., Larranaga, I., Jaulent, M., De Manuel Keenoy, E., Lilja, M., Beach, M., Marguerie, C., Yuksel, M., Laleci Ertukmen, G. B., Klein, G. O., Lindman, P., Kalra, D. and Arvanitis, Theodoros N. (2022) An integrated care platform system (C3-Cloud) for care planning, decision support, and empowerment of patients With multimorbidity : protocol for a technology trial. JMIR Research Protocols, 11 (7). e21994. doi:10.2196/21994 ISSN 1929-0748.
Book Item
Adler, Micah, Fich, Faith, Goldberg, Leslie Ann and Paterson, Michael S. (2002) Tight size bounds for packet headers in narrow meshes. In: Montanari, U. and Rolim, J. D. P. and Welzl, E., (eds.) Automata, Languages and Programming. Lecture Notes in Computer Science, Volume 1853 . Springer Berlin Heidelberg, pp. 756-767. ISBN 9783540677154
Ajo', Shaul, Piaggio, Davide, Taher, Mahir, Marinozzi, Franco, Bini, Fabiano and Pecchia, Leandro (2021) A MATLAB app to assess, compare and validate new methods against their benchmarks. In: Jarm, T. and Cvetkoska, A. and Mahnič-Kalamiza, S. and Miklavcic, D., (eds.) 8th European Medical and Biological Engineering Conference : Proceedings of the EMBEC 2020. IFMBE proceedings, 80 . Springer, pp. 10-21. ISBN 9783030646097
Al Fayez, Reem Qadan and Joy, Mike (2015) Applying NoSQL databases for integrating web educational stores : an ontology-based approach. In: Maneth, Sebastian, (ed.) Data science : 30th British International Conference on Databases, BICOD 2015, Edinburgh, UK, July 6-8, 2015, proceedings. Lecture Notes in Computer Science (9147). Springer, pp. 29-40. ISBN 9783319204239
Al-Ammal, Hesham, Goldberg, Leslie Ann and MacKenzie, Phil (2000) Binary exponential backoff is stable for high arrival rates. In: Reichel, H. and Tison, S., (eds.) STACS 2000. Lecture Notes in Computer Science, Volume 1770 . Springer Berlin Heidelberg, pp. 169-180. ISBN 9783540671411
Almuqren, Latifah , Alzammam, Arwa , Alotaibi, Shahad , Cristea, Alexandra I. and Alhumoud, Sarah (2017) A review on corpus annotation for arabic sentiment analysis. In: Meiselwitz , G., (ed.) Social Computing and Social Media : Applications and Analytics. SCSM 2017. Lecture Notes in Computer Science, 10283 . Cham: Springer, pp. 215-225. ISBN 9783319585611
Anand, Sarabjot Singh and Mobasher, Bamshad (2007) Contextual recommendation. In: Berendt, B. and Hotho, A. and Mladenic, D. and Semeraro, G., (eds.) From Web to social Web : discovering and deploying user and content profiles. Lecture Notes in Artificial Intelligence, Volume 4737 . Springer Berlin Heidelberg, pp. 142-160. ISBN 9783540749509
Angelis, Jannis and Fernandes, Bruno (2007) Lean practices for product and process improvement : Involvement and knowledge capture. In: Olhager, J. and Persson, F., (eds.) Advances in Production Management Systems. The International Federation for Information Processing, Volume 246 . Springer, pp. 347-354. ISBN 9780387741567
Aroyo, Lora, Dicheva, Darina and Cristea, Alexandra I. (2002) Ontological support for web courseware authoring. In: Cerri, S.A. and Gouarderes, G. and Paraguacu, F., (eds.) Intelligent Tutoring Systems. Lecture Notes in Computer Science, Volume 2363 . Springer-Verlag, pp. 270-280. ISBN 9783540437505
Bal, Jay, Issa, Ahmad and Ma, Xiao (2014) Orchestrating new markets using cloud services. In: Camarinha-Matos , Luis M. and Afsarmanesh , Hamideh , (eds.) Collaborative Systems for Smart Networked Environments :15th IFIP WG 5.5 Working Conference on Virtual Enterprises, PRO-VE 2014, Amsterdam, The Netherlands, October 6-8, 2014. Proceedings. IFIP Advances in Information and Communication Technology, Volume 434 . Springer New York LLC, pp. 161-168. ISBN 9783662447444
Barakat, Lina, Taylor, Phillip M., Griffiths, Nathan and Miles, Simon (2015) Context-driven assessment of provider reputation in composite provision scenarios. In: Barros, Alistair and Grigori , Daniela and Narendra, Nanjangud C. and Dam, Hoa Khanh, (eds.) Service-Oriented Computing : 13th International Conference, ICSOC 2015, Goa, India, November 16-19, 2015, Proceedings. Lecture Notes in Computer Science, 9435 . Springer, pp. 53-67. ISBN 9783662486153
Batra, Vishwas, Haldar, Aparajita, He, Yulan, Ferhatosmanoglu, Hakan, Vogiatzis, George and Guha, Tanaya (2020) Variational recurrent sequence-to-sequence retrieval for stepwise illustration. In: Advances in Information Retrieval : 42nd European Conference on IR Research, ECIR 2020, Lisbon, Portugal, April 14–17, 2020, Proceedings, Part I. Lecture Notes in Computer Science, 12035 . Springer, pp. 50-64. ISBN 9783030454388
Beckingsale, David A., Perks, O. F. J., Gaudin, W. P., Herdman, J. A. and Jarvis, Stephen A. (2013) Optimisation of patch distribution strategies for AMR applications. In: Tribastone, Mirco and Gilmore, Stephen, 1962-, (eds.) Computer Performance Engineering. Lecture Notes in Computer Science , Volume 7587 . Springer, pp. 210-223. ISBN 9783642367809
Berenbrink, Petra, Friedetzky, Thomas and Martin, R. (2005) Dynamic diffusion load balancing. In: Caires, L. and Italiano, G. E. and Monteiro, L. and Palamidessi, C. and Yung, M., (eds.) Automata, Languages and Programming. Lecture Notes in Computer Science, Volume 3580 . Springer Berlin Heidelberg, pp. 1386-1398. ISBN 9783540275800
Beynon, Meurig (2011) From formalism to experience: a Jamesian perspective on music, computing, and consciousness. In: Clarke, David and Clarke, Eric, (eds.) Music and consciousness: philosophical, psychological, and cultural perspectives. Oxford: Oxford University Press, pp. 157-178. ISBN 9780199553792
Beynon, Meurig, Ch'en, Yih-Chang, Hseu, Hsing-Wen, Maad, Soha, Rasmequan, Suwanna, Roe, Chris P., Rungrattanaubol, Jaratsri, Russ, Steve, Ward, Ashley and Wong, Allan (2001) The computer as instrument. In: Beynon, Meurig and Nehaniv, C. L. and Dautenhahn, K., (eds.) Cognitive Technology: instruments of mind. Lecture Notes in Computer Science, Volume 2117 . Springer-Verlag, pp. 476-489. ISBN 3540424067
Beynon, Meurig, Roe, Chris P., Ward, Ashley and Wong, Allan (2001) Interactive situation models for cognitive aspects of user-artefact interaction. In: Beynon, Meurig and Nehaniv, Chrystopher L., 1963- and Dautenhahn, Kerstin, (eds.) Cognitive Technology : instruments of mind. Lecture Notes in Computer Science (Volume 2117). Berlin ; London: Springer-Verlag, pp. 356-372. ISBN 9783540424062
Bilici Ozyigit, Eda, Arvanitis, Theodoros N. and Despotou, George (2020) Generation of realistic synthetic validation healthcare datasets using generative adversarial networks. In: The Importance of Health Informatics in Public Health during a Pandemic. Studies in Health Technology and Informatics, 272 . I O S Press, pp. 322-325. ISBN 9781643680927
Bliznets, Ivan, Fomin, Fedor V., Pilipczuk, Marcin and Pilipczuk, Michał (2014) A subexponential parameterized algorithm for proper interval completion. In: Wagner , Dorothea and Schulz , Andreas S., (eds.) Algorithms : ESA 2014 : Proceedings of 22th Annual European Symposium, Wroclaw, Poland, September 8-10, 2014. Lecture Notes in Computer Science (Volume 8737). Berlin ; London: Springer-Verlag, pp. 173-184. ISBN 9783662447765
Bowen, Adam, Mullins, Andew, Wilson, Roland and Rajpoot, Nasir M. (2005) Light field reconstruction using a planar patch model. In: Kalviainen, H. and Parkkinen, J. and Kaarna, A., (eds.) Image Analysis. Lecture Notes in Computer Science, Volume 3540 . Springer Berlin Heidelberg, pp. 85-94. ISBN 9783540263203
Bowen, Adam, Mullins, Andrew, Wilson, Roland and Rajpoot, Nasir M. (2008) Estimation of dense, non-rigid motion fields from a multi-camera array using a hierarchical mixture model. In: Perales, F. J. and Fisher, R. B., (eds.) Articulated motion and deformable objects. Lecture Notes in Computer Science (5098). Springer-Verlag, pp. 11-21. ISBN 9783540705161
Bra, Paul M. E. de, Aroyo, Lora and Cristea, Alexandra I. (2004) Adaptive web-based educational hypermedia. In: Levene, M. and Poulovassilis, A., (eds.) Web Dynamics, Adapting to Change in Content, Size, Topology and Use. Springer-Verlag, pp. 387-410. ISBN 9783662108741
Branke, Jürgen and Fei, Xin (2016) Efficient sampling when searching for robust solutions. In: Parallel Problem Solving from Nature – PPSN XIV. Lecture Notes in Computer Science, 9921 . Springer, Cham, pp. 237-246. ISBN 9783319458236
Bryson, K., Luck, Michael (Michael M.), Joy, Mike and Jones, David T. (2000) Applying agents to bioinformatics in GeneWeaver. In: Klusch, Matthias, 1965- and Kerschberg, Larry, (eds.) Cooperative information agents IV : The future of information agents in cyberspace. Lecture Notes in Computer Science (Volume 1860). Berlin ; London: Springer, pp. 60-71. ISBN 9783540677031
Calvi, Licia and Cristea, Alexandra I. (2002) Towards generic adaptive systems : analysis of a case study. In: Bra, Paul M. E. de, 1959- and Brusilovsky, Peter and Conejo, Richardo, (eds.) Adaptive hypermedia and adaptive web-based systems. Lecture Notes in Computer Science (2347). Berlin ; London: Springer, pp. 79-89. ISBN 9783540437376
Coja-Oghlan, Amin, Onsjö, M. and Watanabe, O. (2010) Propagation connectivity of random hypergraphs. In: Approximation, Randomization, and Combinatorial Optimization. Lecture Notes in Computer Science (6302). London: Springer Verlag, pp. 490-503. ISBN 9783642153686
Corea, Stephen and Walters, Andy (2007) Challenges in business performance measurement : the case of a corporate IT function. In: Alonso, G. and Dadam, P. and Rosemann, M., (eds.) Business Process Management : 5th International Conference, BPM 2007, Brisbane, Australia, September 24-28, 2007. Proceedings. Lecture Notes in Computer Science, Volume 4714 . Springer Berlin Heidelberg, pp. 16-31. ISBN 9783540751823
Cotton-Barratt, Conrad, Hopkins, David (Computer scientist), Murawski, Andrzej S. and Ong, Luke (2015) Fragments of ML decidable by nested data class memory automata. In: Pitts, Andrew, (ed.) Foundations of software science and computation structures : 18th International Conference, FOSSACS 2015, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2015, London, UK, April 11-18, 2015, Proceedings. Lecture Notes in Computer Science (Volume 9034). Berlin ; Heidelberg: Springer, pp. 249-263. ISBN 9783662466773
Cotton-Barratt, Conrad, Murawski, Andrzej S. and Ong, Luke (2015) Weak and nested class memory automata. In: Horia Dediu, Adrian and Formenti, Enrico, 1968- and Martín Vide, Carlos and Truthe, Bianca, (eds.) Language and automata theory and applications : 9th International Conference, LATA 2015, Nice, France, March 2-6, 2015, Proceedings. Lecture Notes in Computer Science (Volume 8977). Berlin ; Heidelberg: Springer International Publishing, pp. 188-199. ISBN 9783319155784
Coy, Sam, Czumaj, Artur, Scheideler, Christian, Schneider, Philipp and Werthmann, Julian (2023) Routing schemes for hybrid communication networks. In: Rajsbaum, Sergio and Balliu, Alkida and Daymude, Joshua J. and Olivetti, Dennis, (eds.) Structural Information and Communication Complexity. SIROCCO 2023. Lecture Notes in Computer Science, 13892 . Cham: Springer, pp. 317-338. ISBN 9783031327322
Cristea, Alexandra I. and Aroyo, Lora (2002) Adaptive authoring of adaptive educational hypermedia. In: De Bra, P. and Brusilovsky, P. and Conejo, R., (eds.) Adaptive Hypermedia and Adaptive Web-Based Systems. Lecture Notes in Computer Science, Volume 2347 . Springer-Verlag, pp. 122-132. ISBN 9783540437376
Cristea, Alexandra I. and Calvi, Licia (2003) The three layers of adaptation granularity. In: Brusilovsky, Peter and Corbett, Albert T., 1950- and De Rosis, Fiorella, 1941-, (eds.) User Modeling 2003. Lecture Notes in Computer Science (2702). Berlin ; London: Springer, pp. 4-14. ISBN 9783540403814
Cristea, Alexandra I., Ghali, Fawaz and Joy, Mike (2011) Social, personalized lifelong learning. In: Magoulas, George, (ed.) E-Infrastructures and Technologies for Lifelong Learning: Next Generation Environments. Hershey, PA: IGI Global, pp. 90-125. ISBN 9781615209835
Cristea, Alexandra I., Hendrix, M. and Nejdl, W. (2006) Automatic and manual annotation using flexible schemas for adaptation on the semantic desktop. In: Nejdl, W. and Tochtermann, K., (eds.) Innovative Approaches for Learning and Knowledge Sharing. Lecture Notes in Computer Science, Volume 4227 . Springer Berlin Heidelberg, pp. 88-102. ISBN 9783540457770
Cristea, Alexandra I., Smits, David, Bevan, Jon and Hendrix, Maurice (2009) LAG 2.0 : refining a reusable adaptation language and improving on its authoring. In: Cress, U. and Dimitrova, V. and Specht, M., (eds.) Learning in the synergy of multiple disciplines. Lecture Notes in Computer Science (5794). Springer-Verlag, pp. 7-21. ISBN 9783642046353
Cristea, Alexandra I. and Stewart, C. (2005) Authoring of adaptive hypermedia. In: Magoulas, G. D. and Chen, S. Y., (eds.) Advances in Web-Based Education: personalized Learning Environments. Hershey, PA: IGI Global, pp. 225-252. ISBN 9781591406907
Cristea, Alexandra I. and Stewart, C. (2006) Automatic authoring of adaptive educational hypermedia. In: Ma, Z., (ed.) Web-based Intelligent E-Learning Systems: technologies and Applications. Hershey, PA: IGI Global, pp. 24-55. ISBN 9781591407294
Cryan, Mary, Goldberg, Leslie Ann and Phillips, Cynthia A. (1997) Approximation algorithms for the fixed-topology phylogenetic number problem. In: Apostolico, A. and Hein, J., (eds.) Combinatorial Pattern Matching. Lecture Notes in Computer Science, Volume 1264 . Springer Berlin Heidelberg, pp. 130-149. ISBN 9783540632207
Cunningham, Nathan, Griffin, Jim E., Wild, David L. and Lee, Anthony (2019) particleMDI : a Julia Package for the Integrative Cluster Analysis of Multiple Datasets. In: Argiento, R. and Durante, D. and Wade, S., (eds.) Bayesian Statistics and New Generations. BAYSM 2018. Springer Proceedings in Mathematics & Statistics, 296 . Cham: Springer, pp. 65-74. ISBN 9783030306106
Cygan, Marek, Marx, Daniel, Pilipczuk, Marcin and Pilipczuk, Michał (2014) Hitting forbidden subgraphs in graphs of bounded treewidth. In: Csuhaj-Varjú, E. and Dietzfelbinger, Martin and Ésik, Zoltán, 1951-, (eds.) Mathematical foundations of computer science 2014 : proceedings, Part II 39th International Symposium, MFCS 2014, Budapest, Hungary, August 25-29, 2014. Lecture Notes in Computer Science (Volume 8635). Berlin ; London: Springer Verlag, pp. 189-200. ISBN 9783662444641
Czumaj, Artur (2008) Euclidean traveling salesperson problem. In: Kao, Ming-Yang, (ed.) Encyclopedia of algorithms. Springer Verlag, pp. 281-284. ISBN 9780387301624
Czumaj, Artur, Czyzowicz, J., Gąsieniec, L., Jansson, J., Lingas, Andrzej and Zylinski, P. (2009) Approximation algorithms for buy-at-bulk geometric network design. In: Dehne, F. and Gavrilova, M. and Sack, J. R. and Toth, C. D., (eds.) Algorithms and data structures. Lecture Notes in Computer Science, Volume 5664 . Springer, pp. 168-180. ISBN 9783642033667
Czumaj, Artur and Lingas, Andrzej (2008) Minimum k-connected geometric networks. In: Kao, Ming-Yang, (ed.) Encyclopedia of algorithms. Springer Verlag, pp. 536-539. ISBN 9780387301624
Czumaj, Artur and Sohler, Christian (2007) Small space representations for metric min-sum k-clustering and their applications. In: Thomas, W. and Weil, P., (eds.) STACS 2007 : 24th Annual Symposium on Theoretical Aspects of Computer Science, Aachen, Germany, February 22-24, 2007. Proceedings. Lecture Notes in Computer Science, Volume 4393 . Springer Berlin Heidelberg, pp. 536-548. ISBN 9783540709176
Czumaj, Artur and Vöcking, Berthold (2008) Price of anarchy for machines models. In: Kao, Ming-Yang, (ed.) Encyclopedia of algorithms. Springer Verlag, pp. 1-99. ISBN 9780387301624
Czumaj, Artur and Wang, Xin (2007) Communication problems in random line-of-sight ad-hoc radio networks. In: Hromkovic, J. and Kralovic, R. and Nunkesser, M. and Widmayer, P., (eds.) Stochastic Algorithms : Foundations and Applications. Lecture Notes in Computer Science, Volume 4665 . Springer Berlin Heidelberg, pp. 70-81. ISBN 9783540748700
Czumaj, Artur and Wang, Xin (2007) Fast message dissemination in random geometric ad-hoc radio networks. In: Tokuyama, T., (ed.) Algorithms and Computation. Lecture Notes in Computer Science, Volume 4835 . Springer Berlin Heidelberg, pp. 220-231. ISBN 9783540771180
Dean, Walter (2016) Algorithms and the mathematical foundations of computer science. In: Horsten, L. and Welch, P., (eds.) Gödel's disjunction : the scope and limits of mathematical knowledge. Oxford University Press, pp. 19-66. ISBN 9780198759591
Dean, Walter (2015) Computational complexity theory. In: Zalta, Edward N., (ed.) The Stanford Encyclopedia of Philosophy. Center for the Study of Language and Information.
Deineko, Vladimir and Tiskin, Alexander (2006) One-sided monge TSP is NP-Hard. In: Gavrilova, M. and Gervasi, O. and Kumar, V. and Tan, C. J. K. and Taniar, D. and Lagana, A. and Mun, Y. and Choo, H., (eds.) Computational Science and Its Applications - ICCSA 2006. Lecture Notes in Computer Science, Volume 3982 . Springer, pp. 793-801. ISBN 9783540340751
Deineko, Vladimir G. and Tiskin, Alexander (2007) Fast minimum-weight double-tree shortcutting for metric TSP. In: Demetrescu, C., (ed.) Experimental Algorithms. Lecture Notes in Computer Science, Volume 4525 . Springer Berlin Heidelberg, pp. 136-149. ISBN 9783540728443
Despotou, George, Korkontzelos, Ioannis and Arvanitis, Theodoros N. (2022) Bottom-up natural language processing based evaluation of the fitness of UMLS as a semantic source for a computer interpretable guidelines ontology. In: MEDINFO 2021: One World, One Health – Global Partnership for Digital Innovation. Studies in Health Technology and Informatics, 290 . IOS Press, pp. 1060-1061. ISBN 9781643682648
Dimovski, Aleksandar and Lazic, Ranko (2004) CSP representation of game semantics for second-order idealized Algol. In: Davies, J. and Schulte, W. and Barnett, M., (eds.) Formal Methods and Software Engineering. Lecture Notes in Computer Science, Volume 3308 . Springer Berlin Heidelberg, pp. 146-161. ISBN 9783540238416
Dyson, J. R. D., Griffiths, Nathan, Lim Choi Keung, Hélène Niuklan, Jarvis, Stephen A. and Nudd, G. R. (2004) Trusting agents for grid computing. In: UNSPECIFIED IEEE INTERNATIONAL CONFERENCE ON SYSTEMS, MAN, AND CYBERNETICS, CONFERENCE PROCEEDINGS . IEEE, pp. 3187-3192. ISBN 0780385667
Englert, Matthias, Franke, T. and Olbrich, L. (2008) Sensitivity of wardrop equilibria. In: Monien, Burkhard and Schroeder, Ulf-Peter, (eds.) Algorithmic game theory. Lecture Notes in Computer Science, Volume 4997 . Springer Verlag, pp. 158-169. ISBN 9783540793083
Englert, Matthias, Gupta, A., Krauthgamer, Robert, Räcke, Harald, Talgam-Cohen, Inbal and Talwar, Kunal (2010) Vertex sparsifiers : new results from old techniques. In: Serna, Maria and Shaltiel, Ronen and Jansen, Klaus and Rolim, José, (eds.) Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques. Lecture Notes in Computer Science (6302). Springer Verlag, pp. 152-165. ISBN 9783642153686
Englert, Matthias, Roglin, H. and Westermann, M. (2006) Evaluation of online strategies for reordering buffers. In: Carme, Àlvarez and María, Serna, (eds.) Experimental Algorithms. Lecture Notes in Computer Science, Volume 4007 . Springer Verlag, pp. 183-194. ISBN 9783540345978
Englert, Matthias, Voecking, Berthold and Winkler, Melanie (2009) Economical caching with stochastic prices. In: Watanabe, O and Zeugmann, T, (eds.) Stochastic Algorithms : Foundations and Applications. Lecture Notes in Computer Science, Volume 5792 . Springer, pp. 179-190. ISBN 9783642049439
Englert, Matthias and Westermann, Matthias (2006) Lower and upper bounds on FIFO buffer management in QoS switches. In: Azar, Yossi and Erlebach, Thomas, (eds.) Algorithms – ESA 2006. Lecture Notes in Computer Science, 4168 . Springer Verlag, pp. 352-363. ISBN 9783540388753
Englert, Matthias and Westermann, Matthias (2005) Reordering buffer management for non-uniform cost models. In: Caires, Luís and Italiano, Giuseppe F. and Monteiro, Luís and Palamidessi, Catuscia and Yung, Moti , (eds.) Automata, Languages and Programming. Lecture Notes in Computer Science, Volume 3580 . Springer Berlin Heidelberg, pp. 627-638. ISBN 9783540275800
Fernandes, K., Raja, V., Keast, J., Beynon, Meurig, Chan, P. S and Joy, Mike (2002) Business and IT Perspectives on AMORE : A methodology using object-orientation in reengineering enterprises. In: Henderson, P., (ed.) Systems Engineering for Business Process Change: Collected Papers from the EPSRC Research Program. London: Springer, pp. 274-297. ISBN 9781447110842
Foss, Jonathan G. K. and Cristea, Alexandra I. (2010) Transforming a linear module into an adaptive one : tackling the challenge. In: Aleven, Vincent A. W. M. M. and Kay, Judy and Mostow, Jack, (eds.) Intelligent Tutoring Systems : 10th International Conference, ITS 2010, Pittsburgh, PA, USA, June 14-18, 2010, Proceedings, Part II. Lecture Notes in Computer Science, Volume 6095 . Springer Verlag, pp. 82-91. ISBN 9783642134364
Fox, Catherine, Oliveira, Luis, Kirkwood, Leigh and Cain, Rebecca (2017) Understanding users' behaviours in relation to concentrated boarding : implications for rail infrastructure and technology. In: Gao, James and El Souri, Mohammed and Keates, Simeon, (eds.) Advances in Transdisciplinary Engineering. Advances in Transdisciplinary Engineering, 6 . Amsterdam, Netherlands: IOS Press, pp. 120-125. ISBN 9781614997917
Gay, Simon J., Nagarajan, Rajagopal and Papanikolaou, N. K. (2010) Specification and verification of quantum protocols. In: Gay, Simon J. and Mackie, I., (eds.) Semantic techniques in quantum computation. USA: Cambridge University Press, pp. 414-472. ISBN 9780521513746
Goldberg, Leslie Ann, Jerrum, Mark, Kannan, Sampath and Paterson, Michael S. (2000) A bound on the capacity of backoff and acknowledgement-based protocols. In: Montanari, U. and Rolim, J. D. P and Welzl, E, (eds.) Automata, Languages and Programming. Lecture Notes in Computer Science, Volume 1853 . Springer Berlin Heidelberg, pp. 705-716. ISBN 9783540677154
Goldberg, Paul W. (2001) When can two unsupervised learners achieve PAC separation? In: Helmbold, D. and Williamson, B., (eds.) Computational Learning Theory. Lecture Notes in Computer Science, Volume 2111 . Springer Berlin Heidelberg, pp. 303-319. ISBN 9783540423430
Gray, J. and Marres, N. (2023) Articulating urban collectives with data. In: MacKinnon, Debra and Burns, Ryan and Fast, Victoria, (eds.) Digital (In)Justice in the Smart City. Toronto: University of Toronto Press. ISBN 9781487527167
Griffiths, Nathan and Chao, Kuo-Ming (2005) Experience-based trust : enabling effective resource selection in a grid environment. In: Hermann, P. and Issarny, V. and Shiu, S., (eds.) Trust Management. Lecture Notes in Computer Science, Volume 3477 . Springer Berlin Heidelberg, pp. 240-255. ISBN 9783540260424
Griffiths, Nathan and Luck, Michael (Michael M.) (2011) Norm diversity and emergence in tag-based cooperation. In: Coordination, Organizations, Institutions, and Norms in Agent Systems VI. Berlin / Heidelberg: Springer, pp. 230-249. ISBN 9783642212673
Griffiths, Nathan, Luck, Michael (Michael M.) and d'Inverno, Mark (2002) Annotating cooperative plans with trusted agents. In: Falcone, Rino and Barber, Suzanne and Korba, Larry and Singh, Munindar P. (Munindar Paul), 1964-, (eds.) Trust, reputation and security : theories and practice. Lecture notes in artificial intelligence (2631). Berlin Heidelberg: Springer, pp. 87-107. ISBN 3540009884
Guan, Yu, Wei, Xingjie, Li, Chang-Tsun and Keller, Yosi (2014) People identification and tracking through fusion of facial and gait features. In: Cantoni, V. and Dimov, Dimo and Tistarelli, Massimo, 1962-, (eds.) Biometric Authentication : First International Workshop, BIOMET 2014, Sofia, Bulgaria, June 23-24, 2014. Revised Selected Papers. Lecture Notes in Computer Science . Springer International Publishing, pp. 209-221. ISBN 9783319133850
Guo, Siyao, Malkin, Tal, Oliveira, Igor C. and Rosen, Alon (2015) The power of negations in cryptography. In: Dodis, Yevgeniy and Nielsen, Jesper Buus, (eds.) Theory of Cryptography : 12th International Conference, TCC 2015, Warsaw, Poland, March 23-25, 2015, Proceedings, Part I. Lecture Notes in Computer Science, 9014 . Springer-Verlag Berlin Heidelberg, pp. 36-65. ISBN 9783662464939
Guo, Weisi, Liakata, Maria, Mosquera, G., Qi, Weijie, Deng, Jie and Zhang, Jie (2019) Big data methods for ultra-dense-network deployment. In: Duong, Trung and Chu, Xiaoli and Suraweera, Himal, (eds.) Ultra-Dense Networks for 5G and Beyond: Modelling, Analysis, and Design. Wiley. ISBN 9781119473695 (In Press)
Hammond, Simon D. and Lacey, David (2006) Loop transformations in the ahead-of-time optimization of Java bytecode. In: Mycroft, A. and Zeller, A., (eds.) Compiler Construction. Lecture Notes in Computer Science, Volume 3923 . Springer Berlin Heidelberg, pp. 109-123. ISBN 9783540330509
He, Ligang, Jarvis, Stephen A., Bacigalupo, David A., Spooner, Daniel P. and Nudd, G. R. (2005) Performance-aware load balancing for multiclusters. In: Cao, J. and Yang, L. T. and Guo, M. and Lau, F., (eds.) Parallel and Distributed Processing and Applications. Lecture Notes in Computer Science , Volume 3358 . Springer Berlin Heidelberg, pp. 635-647. ISBN 9783540241287
He, Ligang, Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2003) Performance-based dynamic scheduling of hybrid real-time applications on a cluster of heterogeneous workstations. In: Kosch, Harald and Böszörményi, László, 1949- and Hellwagner, Hermann, (eds.) Euro-Par 2003 Parallel Processing : 9th International Euro-Par Conference Klagenfurt, Austria, August 26-29, 2003 Proceedings. Lecture Notes in Computer Science, Volume 2790 . Berlin ; London: Springer-Verlag, pp. 195-200. ISBN 9783540407881
Hendrix, Maurice, Bra, Paul M. E. de, Pechenizkiy, M., Smits, David and Cristea, Alexandra I. (2008) Defining adaptation in a generic multi-layer model : CAM : the GRAPPLE conceptual adaptation model. In: Times of convergence. Technologies across learning contexts. Lecture Notes in Computer Science, Volume 5192 . Springer-Verlag, pp. 132-143. ISBN 9783540876045
Hendrix, Maurice and Cristea, Alexandra I. (2008) Reuse patterns in adaptation languages : creating a meta-level for the LAG adaptation language. In: Nejdl, W. (Wolfgang), 1960- and Kay, Judy and Pu, Pearl and Herder, Eelco, (eds.) Adaptive hypermedia and adaptive web-based systems. Lecture notes in computer science, Volume 5149 . Springer-Verlag, pp. 304-307. ISBN 9783540709848
Hendrix, Maurice and Cristea, Alexandra I. (2007) A qualitative and quantitative evaluation of adaptive authoring of adaptive hypermedia. In: Duval, E. and Klamma, R. and Wolpers, M., (eds.) Creating New Learning Experiences on a Global Scale. Lecture Notes in Computer Science, Volume 4753 . Springer-Verlag, pp. 71-85. ISBN 9783540751946
Hill, J. M. D., Jarvis, Stephen A., Siniolakis, C. and Vasilev, V. P. (1998) Analysing an SQL application with a BSPlib call-graph profiling tool. In: Pritchard, David and Reeve, Jeff, (eds.) Euro-Par’98 Parallel Processing. Lecture Notes in Computer Science, Volume 1470 . Springer Berlin Heidelberg, pp. 157-164. ISBN 9783540649526
Janowski, Tomasz and Joseph, Mathai (1996) Dynamic scheduling in the presence of faults : specification and verification. In: Jonsson, B. and Parrow, J., (eds.) Formal Techniques in Real-Time and Fault-Tolerant Systems. Lecture Notes in Computer Science, Volume 1135 . Springer Berlin Heidelberg, pp. 279-297. ISBN 3540616489
Jantjies, Mmaki and Joy, Mike (2017) Teaching through mobile technology : a reflection from high school studies in South Africa. In: Kidd, Terry T. and Morris, Lonnie R., (eds.) Handbook of Research on Instructional Systems and Educational Technology. Hershey, PA: IGI Global, pp. 299-312. ISBN 9781522523994
Jarvis, Stephen A. and Morgan, R. G. (1997) The results of profiling large-scale lazy functional programs. In: Kluge, Werner, (ed.) Implementation of Functional Languages. Lecture Notes in Computer Science, Volume 1268 . Springer Berlin Heidelberg, pp. 200-211. ISBN 9783540632375
Jarvis, Stephen A., Poria, Sanjay and Morgan, R. G. (1995) Experiences of teaching large-scale functional programming. In: Hartel, Pieter H. and Plasmeijer, M. J. (Marinus Jacobus), (eds.) Funtional Programming Languages in Education : First International Symposium, FPLE '95 Nijmegen, The Netherlands, December 4–6, 1995 Proceedings. Lecture Notes in Computer Science (Number 1022). Springer-Verlag, pp. 103-119.
Jhumka, Arshad and Kulkarni, Sandeep (2007) On the design of mobility-tolerant TDMA-based media access control (MAC) protocol for mobile sensor networks. In: Janowski, T. and Mohanty, H., (eds.) Distributed Computing and Internet Technology : 4th International Conference, ICDCIT 2007, Bangalore, India, December 17-20. Proceedings. Lecture Notes in Computer Science, Volume 4882 . Berlin: Springer-Verlag, pp. 42-53. ISBN 9783540771128
Joy, Mike (2004) Automating the process of skills-based assessment. In: Irons, A. and Alexander, S., (eds.) Effective Learning and Teaching in Computing. Effective Learning and Teaching in Higher Education . Routledge, pp. 76-85. ISBN 9780415335010
Joy, Mike and Luck, Michael (Michael M.) (1998) The BOSS system for on-line submission and assessment of computing assignments. In: Charman, D. and Elmes, A., (eds.) Computer Based Assessment (Volume 2) : Case studies in Science and Computing. Plymouth, UK: SEED Publications, pp. 39-44. ISBN 184102027
Joy, Mike and Luck, Michael (Michael M.) (1995) On-line submission and testing of programming assignments. In: Hart, J., (ed.) Innovations in the Teaching of Computing. SEDA Papers, Volume 1 (Number 88). London: SEDA, pp. 95-103. ISBN 0946815933
Jurdzinski, Marcin, Laroussinie, Francois and Sproston, Jeremy (2007) Modd checking probabilistic timed automata with one or two clocks. In: Grumberg, O. and Huth, M., (eds.) Tools and Algorithms for the Construction and Analysis of Systems : 13th International Conference, TACAS 2007, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2007 Braga, Portugal, March 24 - April 1, 2007. Proceed. Lecture Notes in Computer Science, Volume 4424 . Springer Verlag, pp. 170-184. ISBN 9783540712084
Kalvala, Sara and Warburton, Richard (2011) A formal approach to fixing bugs. In: Simao, A. and Morgan, C., (eds.) Formal methods, foundations and applications. Lecture Notes in Computer Science, Volume 7021 . Berlin: Springer-Verlag, pp. 172-187. ISBN 9783642250316
Karakanta, Alina and Orrego-Carmona, David (2023) Subtitling in transition : the case of TED talks. In: Lacruz, Isabel, (ed.) Translation in Transition : Human and machine intelligence. American Translators Association Scholarly Monograph Series . John Benjamins Publishing Company, pp. 130-156. ISBN 9789027213860
Kavitha, T. and Shah, C. (2006) Efficient algorithms for weighted rank-maximal matchings and related problems. In: Asano, Tetsuo, (ed.) Algorithms and Computation. Lecture Notes in Computer Science, Volume 4288 . Springer Verlag, pp. 153-162. ISBN 9783540496946
Kerbyson, D. J., Papaefstathiou, E., Harper, J. S., Perry, S. C. and Nudd, G. R. (1999) Is predictive tracing too late For HPC users? In: Allan, R. J. and Guest, M. F. and Simpson, A. and Nicole, D. A. and Henty, D. S., (eds.) High Performance Computing. Springer US, pp. 57-67. ISBN 9781461372110
Kerbyson, D. J., Papaefstathiou, E. and Nudd, G. R. (1998) Application execution steering using on-the-fly performance prediction. In: Sloot, Peter and Bubak, Marian and Hertzberger, Bob, (eds.) High-Performance Computing and Networking. Lecture Notes in Computer Science, Volume 1401 . Springer Berlin Heidelberg, pp. 718-727. ISBN 9783540644439
Khan, Mohammed Omar, Gour, Shramika, Lim Choi Keung, Sarah N., Morris, Natalie, Shields, Rebecca, Quenby, Siobhan, Dimakou, Danai B., Pickering, Oonagh, Tamblyn, Jennifer, Devall, Adam, Coomarasamy, Arri, Thornton, Danielle K., Perry, Alison and Arvanitis, Theodoros N. (2022) Electronic patient reported outcomes for miscarriage research in Tommy’s net. In: Mantas, J. and Gallos, P. and Zoulias, E. and Hasman, A. and Househ, M.S. and Diomidous, M. and Liaskos, J. and Charalampidou, M., (eds.) Advances in Informatics, Management and Technology in Healthcare. Studies in Health Technology and Informatics, 295 . IOS Press, pp. 458-461. ISBN 9781643682914
Klasing, Ralf (1998) Improved compressions of cube-connected cycles networks. In: Hromkovic, J. and Sykora, O., (eds.) Graph-Theoretic Concepts in Computer Science. Lecture Notes in Computer Science, Volume 1517 . Springer Berlin Heidelberg, pp. 242-256. ISBN 9783540651956
Korpelainen, Nicholas, Lozin, Vadim V. and Tiskin, Alexander (2010) Hamiltonian cycles in subcubic graphs : what makes the problem difficult. In: Kratochvil, J and Li, A and Fiala, J and Kolman, P, (eds.) Theory and Applications of Models of Computation. Lecture Notes in Computer Science, Vol.6108 . Springer, pp. 320-327. ISBN 9783642135613
Koster, Arie M. C. A., Zymolka, Adrian and Kutschka, Manuel (2007) Algorithms to separate {0,1/2}- Chvatal-Gomory cuts. In: Arge, L. and Hoffmann, M. and Welzl, E., (eds.) Algorithms - ESA 2007: 15th Annual European Symposium, Eilat, Israel, October 8-10, 2007, Proceedings. Lecture Notes in Computer Science, Volume 4698 . Springer-Verlag, pp. 693-704. ISBN 9783540755197
Krusche, Peter and Tiskin, Alexander (2006) Efficient longest common subsequence computation using bulk-synchronous parallelism. In: Gavrilova, M. and Gervasi, O. and Kumar, V. and Tan, C. J. K. and Taniar, D. and Lagana, A. and Mun, Y. and Choo, H., (eds.) Computational Science and Its Applications - ICCSA 2006. Lecture Notes in Computer Science, Volume 3984 . Springer Berlin Heidelberg, pp. 165-174. ISBN 9783540340799
Krusche, Peter and Tiskin, Alexander (2007) Efficient parallel string comparison. In: Bischof, C. and Bücker, M. and Gibbon, P. and Joubert, G. R. and Lippert, T. and Mohr, B. and Peters, F., (eds.) Parallel Computing: Architectures, Algorithms and Applications. NIC Series, Volume 38 . Julich: John von Neumann-Institut für Computing. ISBN 9783981084344
Krusche, Peter and Tiskin, Alexander (2009) String comparison by transposition networks. In: London Algorithmics 2008: Theory and Practice. London: College Publications, pp. 184-204. ISBN 9781904987970
Ladroue, Christophe and Kalvala, Sara (2015) Constraint-based genetic compilation. In: Dediu, Adrian-Horia and Hernández-Quiroz, Francisco and Marin-Vide, Carlos and Rosenblueth, David A., (eds.) Algorithms for Computational Biology : Second International Conference, AlCoB 2015, Mexico City, Mexico, August 4-5, 2015, Proceedings. Lecture Notes in Computer Science, 9199 . Springer, pp. 25-38. ISBN 9783319212326
Lai, Russell W. F., Malavolta, Giulio and Spooner, Nicholas (2022) Quantum rewinding for many-round protocols. In: Kiltz, E. and Vaikuntanathan, V., (eds.) Theory of Cryptography. TCC 2022. Lecture Notes in Computer Science, 13747 . Cham: Springer, pp. 80-109. ISBN 9783031223174
Laine, Teemu, Nygren, Eeva, Sutinen, Erkki, Islas Sedano, Carolina, Joy, Mike and Blignaut, Seugnet (2011) Ubiquitous mathematics from South Africa to Finland : does reverse transfer work? In: Chen, I. and Kidd, T., (eds.) Ubiquitous learning : strategies for pedagogy, course design and technology. Charlotte, NC: Information Age Publishing. ISBN 9781617354366
Lazic, Ranko, Jurdzinski, Marcin and Rutkowski, Michał, Ph.D. (2009) Average-price-per-reward games on hybrid automata with strong resets. In: Jones, Neil D. and Müller-Olm, Markus, (eds.) Verification, Model Checking, and Abstract Interpretation. Lecture Notes in Computer Science (5403). London: Springer, pp. 167-181. ISBN 9783540938996
Lazic, Ranko, Newcomb, Tom, Ouaknine, Joel, Roscoe, A. W. and Worrell, James (2007) Nets with tokens which carry data. In: Kleijnen, J. and Yakovlev, A., (eds.) Petri Nets and Other Models of Concurrency – ICATPN 2007 : 28th International Conference on Applications and Theory of Petri Nets and Other Models of Concurrency, ICATPN 2007, Siedlce, Poland, June 25-29, 2007. Proceedings. Lecture Notes in Computer Science, Volume 4546 . Heidelberg : Springer Verlag, pp. 301-320. ISBN 9783540730934
Lazic, Ranko, Newcomb, Tom and Roscoe, A. W. (2005) On model checking data-independent systems with arrays with whole-array operations. In: Abadallah, A. E. and Jones, C. B. and Sanders, J. W., (eds.) Communicating Sequential Processes. The First 25 Years. Lecture Notes in Computer Science, Volume 3525 . Springer Berlin Heidelberg, pp. 275-291. ISBN 9783540258131
Lazic, Ranko and Totzke, Patrick (2017) What makes petri nets harder to verify : stack or data?, Concurrency, security, and puzzles : Festschrift for A.W. Roscoe on the occasion of his 60th birthday. In: Concurrency, Security, and Puzzles : Essays Dedicated to Andrew William Roscoe on the Occasion of His 60th Birthday. Lecture Notes in Computer Science, 10160 . Springer, pp. 144-161. ISBN 9783319510453
Li, Tao and Anand, Sarabjot Singh (2009) Exploiting domain knowledge by automated taxonomy generation in recommender systems. In: DiNoia, T. and Buccafurri, F., (eds.) E-Commerce and Web Technologies. Lecture Notes in Computer Science, 5692 . Springer Berlin Heidelberg, pp. 120-131. ISBN 9783642039638
Li, Tao and Anand, Sarabjot Singh (2008) Labeling nodes of automatically generated taxonomy for multi-type relational datasets. In: Song, I. Y. and Eder, J. and Nguyen, T. M., (eds.) Data Warehousing and Knowledge Discovery : 10th International Conference, DaWaK 2008 Turin, Italy, September 2-5, 2008 Proceeding. Lecture Notes in Computer Science, 5182 . Springer-Verlag Berlin Heidelberg, pp. 317-326. ISBN 9783540858355
Lim Choi Keung, Sarah Niukyun and Griffiths, Nathan (2008) Towards improved partner selection using recommendations and trust. In: Falcome, R. and Barber, S. K. and Sabater-Mir, J. and Singh, M. P., (eds.) Trust in agent societies. Lecture Notes in Computer Science, Volume 5396 . Heidelberg: Springer-Verlag, pp. 43-64. ISBN 9783540928027
Lim Choi Keung, Sarah Niukyun and Griffiths, Nathan (2010) Trust and reputation. In: Griffiths, Nathan and Chao, K. -M., (eds.) Agent-based service-oriented computing. Springer, pp. 189-224. ISBN 9781849960403
Lin, Shan and Li, Chang-Tsun (2020) Person re-identification with soft biometrics through deep learning. In: Jiang, R. and Li, C. T. and Crookes, D. and Meng, W. and Rosenberger, C., (eds.) Deep Biometrics. Unsupervised and Semi-Supervised Learning . Springer, pp. 21-36. ISBN 9783030325824
Lin, Xufeng and Li, Chang-Tsun (2018) Image provenance inference through content-based device fingerprint analysis. In: Information Security: Foundations, Technologies and Applications. IET, pp. 279-310. ISBN 9781849199766
Lozin, Vadim V. and Milanic, Martin (2007) Tree-width and optimization in bounded degree graphs. In: Brandstadt, A. and Kratsch, D. and Muller, H., (eds.) Graph-Theoretic Concepts in Computer Science :33rd International Workshop, WG 2007, Dornburg, Germany, June 21-23, 2007. Revised Papers. Lecture Notes in Computer Science, Volume 4769 . Berlin Heidelberg: Springer Verlag, pp. 45-54. ISBN 9783540748380
Luck, Michael (Michael M.), Griffiths, Nathan and d'Inverno, Mark (1997) From agent theory to agent construction : A case study. In: Müller, Jörg P. and Wooldridge, Michael J. and Jennings, Nicholas R., (eds.) Intelligent Agents III Agent Theories, Architectures, and Languages. Lecture Notes in Artificial Intelligence, Volume 1193 . Springer-Verlag, pp. 49-63. ISBN 9783540625070
Ludvig, Elliot Andrew (2012) Reinforcement learning in animals. In: Seel, Norbert M. , (ed.) Encyclopedia of the Sciences of Learning. New York: Springer, pp. 2799-2802. ISBN 9781441914279
Maad, Soha, Beynon, Meurig and Garbaya, S. (2001) Realising virtual trading : what price virtual reality? In: Smith, M. J. and Salvendy, G. and Harris, D. and Koubek, R. J., (eds.) Usability Evaluation and Interface Design: Cognitive Engineering, Intelligent Agents and Virtual Reality. CRC Press, pp. 1007-1011. ISBN 9780805836073
Marchant, James M. and Griffiths, Nathan (2016) Manipulating conventions in a particle-based topology. In: Dignum, V. and Noriega, P. and Sensoy, M. and Sichman, J., (eds.) Coordination, Organizations, Institutions, and Norms in Agent Systems XI. Lecture Notes in Computer Science, 9628 . Springer, pp. 242-261. ISBN 9783319426914
Marres, Noortje (2021) No issues without media : the changing politics of public controversy in digital societies. In: Swartz, Jeremy and Wasko, Janet, (eds.) Media : A Transdisciplinary Inquiry. Bristol, UK ; Chicago, USA: Intellect Books. ISBN 9781789383263
Merali, Yasmin and Bennett, Zinat (2011) Web 2.0 and Network Intelligence. In: Warren, P. and Davies, J. and Simperl, E., (eds.) Context and Semantics for Knowledge Management. Context and Semantics for Knowledge Management, Part 1 (Chapter 2). Springer, pp. 11-26. ISBN 978-3-642-19509-9
Miles, Simon, Joy, Mike and Luck, Michael (Michael M.) (2001) Designing agent-oriented systems by analysing agent interactions. In: Ciancarini, Paolo, 1959- and Wooldridge, Michael J., 1966-, (eds.) Agent-Oriented Software Engineering : First International Workshop, AOSE 2000. Lecture Notes in Computer Science (Volume 1957). Berlin ; London: Springer, pp. 171-183. ISBN 9783540415947
Miles, Simon, Joy, Mike and Luck, Michael (Michael M.) (2003) Towards a methodology for coordination mechanism selection in open systems. In: Petta, Paolo, 1963- and Tolksdorf, Robert, 1965- and Zambonelli, Franco, 1966-, (eds.) Engineering Societies in the Agents World III : Third International Workshop on Engineering Societies in the Agents World (ESAW-2002). Lecture Notes in Computer Science (2577). Berlin ; London: Springer, pp. 241-256. ISBN 9783540140092
Morley, Gavin (2015) Towards spintronic quantum technologies with dopants in silicon. In: Electron Paramagnetic Resonance. Specialist Periodical Reports, Volume 24 . Cambridge: Royal Society of Chemistry, pp. 62-76. ISBN 9781849739818
Mozgovoy, M., Frederiksson, K., White, D. R., Joy, Mike and Sutinen, E. (2005) Fast plagiarism detection system. In: Consens, M. and Navarro, G., (eds.) String Processing and Information Retrieval: 12th International Conference (SPIRE 2005). Lecture Notes in Computer Science, Volume 3772 . London: Springer Berlin Heidelberg, pp. 267-270. ISBN 9783540297406
Murawski, Andrzej S., Ramsay, Steven J. and Tzevelekos, Nikos (2014) Reachability in pushdown register automata. In: Csuhaj-Varjú, E. and Dietzfelbinger, Martin and Ésik, Zoltán, 1951-, (eds.) Mathematical Foundations of Computer Science 2014 : 39th International Symposium, MFCS 2014, Budapest, Hungary, August 25-29, 2014. Proceedings, Part I. Lecture Notes in Computer Science, Volume 8634 . Berlin ; London: Springer, pp. 464-473. ISBN 9783662445211
Murawski, Andrzej S. and Tzevelekos, Nikos (2014) Game semantics for nominal exceptions. In: Muscholl, Anca, (ed.) Foundations of Software Science and Computation Structures : 17th International Conference, FOSSACS 2014, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2014, Grenoble, France, April 5-13, 2014, Proceedings. Lecture Notes in Computer Science, Volume 8412 . Berlin ; London: Springer, pp. 164-179. ISBN 9783642548291
Nurse, Jason R. C. and Sinclair, Jane (2009) Supporting the comparison of business-level security requirements within cross-enterprise service development. In: Abramowicz, W., (ed.) Business Information Systems. Lecture Notes in Business Information Processing, Volume 21 . Springer-Verlag, pp. 61-72. ISBN 9783642011894
Nurse, Jason R. C. and Sinclair, Jane (2011) A case study analysis of an e-business security negotiations support tool. In: Ao, S. -L. and Gelman, L., (eds.) Electrical Engineering and Applied Computing. Lecture Notes in Electrical Engineering (Vol.90). Springer, pp. 209-220. ISBN 9789400711921
Oshri, Ilan, Kotlarsky, Julia and van Fenema, Paul (2009) Transactive memory and the transfer of knowledge between onsite and offshore IT outsourcing teams. In: Hirschheim, R.A. and Heinzl, A. and Dibbern, J., (eds.) Information Systems Outsourcing: Enduring Themes, New Perspectives, and Global Challenges. Berlin: Springer, pp. 327-350. ISBN 9783540348757
Park, Heechan, Yu, Andy C. and Martin, Graham R. (2006) Progressive mesh-based motion estimation using partial refinement. In: Atzori, L. and Giusto, D. D. and Leonardi, R. and Pereira, F., (eds.) Visual Content Processing and Representation. Lecture Notes in Computer Science, Volume 3893 . Springer Berlin Heidelberg, pp. 92-98. ISBN 9783540335788
Pasupuleti, Vijay S., Muske, Tukaram, Bokil, Prasad, Shrotri, Ulka and Venkatesh, R. (2010) Masking boundary value coverage : effectiveness and efficiency. In: Bottaci , Leonardo and Fraser , Gordon , (eds.) Testing – Practice and Research Techniques : 5th International Academic and Industrial Conference, TAIC PART 2010, Windsor, UK, September 3-5, 2010. Proceedings. Lecture Notes in Computer Science, 6303 . Berlin Heidelberg: Springer , pp. 8-22. ISBN 9783642155840
Peake, Ashley R., Khan, Omar, Lim Choi Keung, Sarah N., Yuksel, Mustafa, Laleci Erturkmen, Gokce B. and Arvanitis, Theodoros N. (2022) Structural and semantic mapping of application programming interfaces. In: Mantas, J. and Gallos, P. and Zoulias, E. and Hasman, A. and Househ, M. S. and Diomidous, M. and Liaskos, J. and Charalampidou, M., (eds.) Advances in Informatics, Management and Technology in Healthcare. Studies in Health Technology and Informatics, 295 . IOS Press, pp. 478-482. ISBN 9781643682914
Perks, O. F. J., Hammond, Simon D., Pennycook, Simon J. and Jarvis, Stephen A. (2011) WMTools - assessing parallel application memory utilisation at scale. In: Computer Performance Engineering 8th European Performance Engineering Workshop, EPEW 2011, Borrowdale, UK, October 12-13, 2011. Proceedings. Lecture Notes in Computer Science, 6977 (No.6977). Berlin, Germany: Springer, pp. 148-162. ISBN 9783642247484
Peter, Mooney, Grinberger, A. Yair, Marco, Minghini, Serena, Coetzee, Levente, Juhasz and Yeboah, Godwin (2021) OpenStreetMap data use cases during the early months of the COVID-19 pandemic. In: Abbas, Rajabifard and Daniel, Paez and Greg, Foliente, (eds.) COVID-19 Pandemic, Geospatial Information, and Community Resilience: Global Applications and Lessons. CRC Press, Taylor & Francis Group, pp. 171-186. ISBN 9780367775315
Ponsignon, Frederic and Ng, Irene C. L. (2012) Axios: end-to-end decision support tool for innovation. In: Schultz, Carsten and Ganz, Walter and Satzger, Gerhard, (eds.) Methods in Service Innovation - Current Trends and Future Perspectives. Stuttgart, Germany: Fraunhofer Verlag. ISBN 9783839603604
Qureshi, Hammad A., Sertel, O., Rajpoot, Nasir M. and Wilson, Roland (2008) Adaptive discriminant wavelet packet transform and local binary patterns for meningioma subtype classification. In: Metaxas, D. and Axel, L. and Fichtinger, G. and Szekely, G., (eds.) Medical image computing and computer-assisted intervention – MICCAI 2008. Lecture Notes in Computer Science, Volume 5242 . Springer-Verlag, pp. 196-204. ISBN 9783540859901
Rajpoot, Kashif and Rajpoot, Nasir M. (Nasir Mahmood) (2004) SVM optimization for hyperspectral colon tissue cell classification. In: Barillot, C. and Haynor, D. R. and Hellier, P., (eds.) Medical Image Computing and Computer-Assisted Intervention – MICCAI 2004. Lecture Notes in Computer Science, Volume 3217 (Part 2). Springer Berlin Heidelberg, pp. 829-837. ISBN 978354022977
Rajpoot, Kashif, Rajpoot, Nasir M. (Nasir Mahmood) and Noble, J. (2008) Discrete wavelet diffusion for image denoising. In: Elmoataz, A. and Lezoray, O. and Nouboud, F. and Mammass, D., (eds.) Image and signal processing. Lecture Notes in Computer Science, Volume 5099 . Springer-Verlag, pp. 20-28. ISBN 9783540699040
Rajpoot, Nasir M., Yao, Zhen and Wilson, Roland (2009) Nonlinear filtering for image denoising. In: Chen, W. K., (ed.) Passive, Active, and Digital Filters (Circuits and Filters Handbook, 3rd Edition). The Circuits and Filters Handbook . Boca Raton, FL: CRC Press. ISBN 9781420058857
Rawlinson, T., Bhalerao, Abhir and Wang, L. (2010) Principles and methods for face recognition and face modelling. In: Li, Chang-Tsun, (ed.) Handbook of research on computational forensics, digital crime and investigation : methods and solutions. IGI Global, pp. 53-78. ISBN 9781605668369
Reid, Patrick and Laffey, Des (2010) Search engines: past, present and future. In: Lee, In, (ed.) Encyclopedia of E-Business Development and Management in the Global Economy. Hershey, PA, U.S.A.: IGI Global, pp. 1256-1265. ISBN 9781615206117
Reyes-Aldasoro, Constantino Carlos and Bhalerao, Abhir (2011) Volumetric texture analysis in biomedical imaging. In: Pereira, M. and Freire, M., (eds.) Biomedical diagnostics and clinical technologies : applying high-performance cluster and grid computing. Hershey, PA: IGI Global, pp. 200-248. ISBN 9781605662800
Roberts, Stephen I., Wright, Steven A., Fahmy, Suhaib A. and Jarvis, Stephen A. (2017) Metrics for energy-aware software optimisation. In: Kunkel, J. and Yokota , R. and Balaji , P. and Keyes , D., (eds.) High Performance Computing 32nd International Conference, ISC High Performance 2017, Frankfurt, Germany, June 18–22, 2017, Proceedings. Lecture Notes in Computer Science, 10266 . Springer, pp. 413-430. ISBN 9783319586663
Sajjacholapunt, Petch and Joy, Mike (2017) Research on potential features to enhance on-line course materials for student revision. In: Costagliola, Gennaro and Uhomoibhi, James and Zvacek, Susan and McClaren, Bruce M., (eds.) Computers Supported Education: 8th International Conference, CSEDU 2016, Rome, Italy, April 21-23, 2016, Revised Selected Papers. Communications in Computer and Information Science (739). Cham, Switzerland: Springer, pp. 118-138. ISBN 9783319631844
Shahandashti, Siamak F. and Hao, Feng (2016) DRE-ip : a verifiable e-voting scheme without tallying authorities. In: Askoxylakis, I. and Ioannidis, S. and Katsikas, S. and Meadows, C., (eds.) Computer Security – ESORICS 2016. Lecture Notes in Computer Science, 9879 . Springer, pp. 223-240. ISBN 9783319457406
Shi, Lei, Awan, Malik Shahzad K. and Cristea, Alexandra I. (2013) Evaluating system functionality in social personalized adaptive e-learning systems. In: Hernández-Leo , Davinia and Ley , Tobias and Klamma , Ralf and Harrer , Andreas , (eds.) Scaling up Learning for Sustained Impact. Lecture Notes in Computer Science . Berlin Heidelberg: Springer , pp. 633-634. ISBN 9783642408137
Shi, Lei and Cristea, Alexandra I. (2014) Designing visualisation and interaction for social e-learning : a case study in Topolor 2. In: Rensing, Christoph and Freitas, Sara de and Ley, Tobias and Muñoz-Merino, Pedro J., (eds.) Open learning and teaching in educational communities : 9th European Conference on Technology Enhanced Learning, EC-TEL 2014, Graz, Austria, September 16-19, 2014, proceedings. Lecture Notes in Computer Science (8719). Springer International Publishing, pp. 526-529. ISBN 9783319111995
Shi, Lei, Cristea, Alexandra I. and Hadzidedic, Suncica (2014) Multifaceted open social learner modelling. In: Popescu, Elvira and Lau, Rynson W. H. and Pata, Kai and Leung, Howard and Mart, Laanpere, (eds.) Advances in Web-Based Learning – ICWL 2014. Lecture Notes in Computer Science, Volume 8613 . Springer International Publishing, pp. 32-42. ISBN 9783319096346
Shi, Lei , Cristea, Alexandra I., Hadzidedic, Suncica and Dervishalidovic, Naida (2014) Contextual gamification of social interaction : towards increasing motivation in social e-learning. In: Popescu , Elvira and Lau, Rynson W. H. and Pata, Kai and Leung, Howard and Laanpere, Mart, (eds.) Advances in Web-Based Learning – ICWL 2014. Lecture Notes in Computer Science, Volume 8613 . Springer International Publishing, pp. 116-122. ISBN 9783319096346
Sohrabi Safa, Nader , Maple, Carsten and Watson, Tim (2017) An information security risk management model for smart industries. In: Gao, James and El Souri, Mohammed and Keates, Simeon, (eds.) Advances in Manufacturing Technology XXXI. Advances in Transdisciplinary Engineering, 6 . IOS Press, pp. 257-262. ISBN 9781614997917
Spencer, Matthew (2022) Engines, puppets, promises : the figurations of configuration management. In: Lury, C. and Viney, W. and Wark, S., (eds.) Figure. Singapore: Palgrave Macmillan, pp. 105-125. ISBN 9789811924750
Stash, Natalia, Cristea, Alexandra I. and Bra, Paul M. E. de (2006) Learning styles adaptation language for adaptive hypermedia. In: Wade, V. and Ashman, H. and Smyth, B., (eds.) Adaptive Hypermedia and Adaptive Web-based Systems. Lecture Notes in Computer Science, Volume 4018 . Springer-Verlag, pp. 323-327. ISBN 9783540346968
Stegle, Oliver, Denby, Katherine J., Cooke, Emma J., Wild, David L., Ghahramani, Zoubin and Borgwardt, Karsten M. (2010) A robust Bayesian two-sample test for detecting intervals of differential gene expression in microarray time series. In: Research in Computational Molecular Biology : Proceedings of the 13th Annual International Conference, RECOMB 2009, Tucson, AZ, USA, May 18-21, 2009. Lecture Notes in Computer Science, Volume 5541 . Berlin Heidelberg: Springer, pp. 201-216. ISBN 9783642020087
Stepanyan, Karen, Mather, Richard, Jones, Hamilton and Lusuardi, Carlo (2009) Student engagement with peer assessment : a review of pedagogical design and technologies. In: Advances in Web Based Learning – ICWL 2009 : Proceedings of 8th International Conference, Aachen, Germany, August 19-21, 2009. Lecture Notes in Computer Science, Volume 5686 . Springer, pp. 367-375. ISBN 9783642034251
Sujan, Mark-Alexander, Koorrmeef, Floor and Voges, Udo (2007) Goal-based safety cases for medical devices : opportunities and challenges. In: Saglietti, F. and Oster, N., (eds.) Computer Safety, Reliability, and Security : Proceedings of 26th International Conference, SAFECOMP 2007, Nurmberg, Germany, September 18-21, 2007. Lecture Notes in Computer Science, Volume 4680 . Springer Verlag, pp. 14-27. ISBN 9783540751007
Tiskin, Alexander (2006) All semi-local longest common subsequences in subquadratic time. In: Grigoriev, D. and Harrison, J. and Hirsch, E. A., (eds.) Computer Science – Theory and Applications. Lecture Notes in Computer Science, Volume 3967 . Springer Berlin Heidelberg, pp. 352-363. ISBN 9783540341666
Tiskin, Alexander (2006) Bulk-synchronous parallelism : an emerging paradigm of high-performance computing. In: Yang, Laurence T. and Guo, Minyi, (eds.) High-Performance Computing: Paradigm and Infrastructure. John Wiley & Sons, Inc., pp. 69-80. ISBN 9780471654711
Tiskin, Alexander (2003) Communication-efficient parallel Gaussian elimination. In: Malyshkin, V., (ed.) Parallel Computing Technologies. Lecture Notes in Computer Science, Volume 2763 . Springer Berlin Heidelberg, pp. 369-383. ISBN 3540406735
Tiskin, Alexander (2006) Efficient representation and parallel computation of string-substring longest common subsequences. In: Joubert, G. R. and Nagel, W. E. and Peters, F. J. and Plata, O. and Tirado, P. and Zapata, E., (eds.) Parallel Computing: Current & Future Issues of High-End Computing,. NIC Series, Volume 33 . John von Neumann Institute for Computing, pp. 827-834. ISBN 3000173528
Tiskin, Alexander (2006) Longest common subsequences in permutations and maximum cliques in circle graphs. In: Lewenstein, M. and Valiente, G., (eds.) Longest Common Subsequences in Permutations and Maximum Cliques in Circle Graphs. Lecture Notes in Computer Science, Volume 4009 . Springer Berlin Heidelberg, pp. 270-281. ISBN 9783540354550
Tiskin, Alexander (2002) Parallel convex hull computation by generalised regular sampling. In: Monien, B. and Feldmann, R., (eds.) Euro-Par 2002 Parallel Processing. Lecture Notes in Computer Science, Volume 2400 . Springer Berlin Heidelberg, pp. 392-399. ISBN 9783540440499
Tiskin, Alexander (2010) Parallel selection by regular sampling. In: Guarracino, M. R. and Vivien, F. and Traff, J. L. and Cannataro, M. and Danelutto, M. and Hast, A. and Perla, F. and Knüpfer, A. and Di Martino, B. and Alexander, M., (eds.) Euro-Par 2010 - Parallel Processing. Lecture Notes in Computer Science (6272). Germany: Springer Verlag, pp. 393-399. ISBN 9783642152900
Tiskin, Alexander (2009) Periodic string comparison. In: Combinatorial Pattern Matching. Lecture Notes in Computer Science (5577). Springer Verlag, pp. 193-206. ISBN 9783642024405
Tiskin, Alexander and Krusche, Peter (2010) Parallel longest increasing subsequences in scalable time and memory. In: Wyrzykowski, Roman and Dongarra, Jack and Karczewski, Konrad and Wasniewski, Jerzy, (eds.) Parallel processing and applied mathematics. Lecture Notes in Computer Science (6067). Germany: Springer Verlag, pp. 176-185. ISBN 9783642143892
Traore, Lamine, Assele-Kama, Ariane, Lim Choi Keung, Sarah Niukyun, Karni, Liran, Klein, Gunnar O., Lilja, Mikael, Scandurra, Isabella, Verdoy, Dolores, Yuksel, Mustafa, Arvanitis, Theodoros N., Tsopra, Rosy and Jaulent, Marie-Christine (2019) User-centered design of the C3-cloud platform for elderly with multiple diseases - functional requirements and application testing. In: MEDINFO 2019: Health and Wellbeing e-Networks for All : Proceedings of the 17th World Congress on Medical and Health Informatics. Studies in Health Technology and Informatics, 264 . IOS Press, pp. 843-847. ISBN 9781643680026
Ullrich, Carsten, Borau, Kerstin and Stepanyan, Karen (2010) Who students interact with? A social network analysis perspective on the use of Twitter in language learning. In: Sustaining TEL: From Innovation to Learning and Practice. Lecture Notes in Computer Science, Volume 6383 . Springer, pp. 432-437. ISBN 978-3-642-16019-6
Wang, Haoyi, Sanchez Silva, Victor, Ouyang, Wanli and Li, Chang-Tsun (2020) Using age information as a soft biometric trait for face image analysis. In: Jiang, R. and Li, C. T. and Crookes, D. and Meng, W. and Rosenberger, C., (eds.) Deep Biometrics. Unsupervised and Semi-Supervised Learning . Springer, pp. 1-20. ISBN 9783030325824
Ward, Justin and Sviridenko, Maxim (2013) Large neighborhood local search for the maximum set packing problem. In: Freĭvalds, R. V. and Kwiatkowska, Martha and Fomin, Fedor V. and Peleg , D. (David), (eds.) Automata, Languages, and Programming : 40th International Colloquium, ICALP 2013, Riga, Latvia, July 8-12, 2013, Proceedings, Part I. Lecture Notes in Computer Science (7965). Berlin ; London: Springer, pp. 792-803. ISBN 9783642392054
Wei, Xingjie, Banafshe, Arbab-Zavar, Bustard, John D., Nixon, Mark S. and Li, Chang-Tsun (2015) On forensic use of biometrics. In: Ho, Anthony T. S. and Li, Shujun, (eds.) Handbook of Digital Forensics of Multimedia Data and Devices. Hoboken [Piscataqay, New Jersey]: Wiley ; IEEE Xplore,. ISBN 9781118640500
Wei, Xingjie and Li, Chang-Tsun (2017) Face recognition technologies for evidential evaluation of video traces. In: Handbook of Biometrics in Forensic Science. Advances in Computer Vision and Pattern Recognition . Springer, pp. 177-193. ISBN 9783319506715
Wu, Yi and Angelis, Jannis (2007) Achieving agility of supply chain management through information technology applications. In: Olhager, J. and Persson, F., (eds.) Advances in Production Management Systems : International IFIP TC 5, WG 5.7 Conference on Advances in Production Management Systems (APMS 2007), September 17–19, Linköping, Sweden. The International Federation for Information Processing, Volume 246 . Springer , pp. 245-253. ISBN 9780387741567
Yang, Shanshan, (Researcher in computer science) and Joy, Mike (2010) Service advertisement and discovery. In: Griffiths, Nathan and Chao, K. -M., (eds.) Agent-based service-oriented computing. London: Springer, pp. 21-46. ISBN 9781849960403
Yau, Jane Yin-Kim and Joy, Mike (2011) M-learning generations and interview study : results of a mobile context-aware learning schedule framework. In: Parsons, David, 1959 Oct. 13-, (ed.) Combining e-learning and m-learning : new applications of blended educational resources. Hershey, PA: IGI Global, pp. 28-55. ISBN 978-1-60960-481-3 (hardcover) 978-1-60960-482-0 (ebook)
Zhang, Qiang, Bhalerao, Abhir and Hutchinson, Charles E. (2017) Weakly-supervised evidence pinpointing and description. In: Niethammer, M., (ed.) Information Processing in Medical Imaging. IPMI 2017. Lecture Notes in Computer Science, 10265 . Cham: Springer, pp. 210-222. ISBN 9783319590493
Zhou, Yiwei, Demidova, Elena and Cristea, Alexandra I. (2016) Analysing entity context in multilingual Wikipedia to support entity-centric retrieval applications. In: Semantic Keyword-based Search on Structured Data Sources : First COST Action IC1302 International KEYSTONE Conference, IKC 2015, Coimbra, Portugal, September 8-9, 2015. Revised Selected Papers. Lecture Notes in Computer Science, 9398 . Springer International Publishing, pp. 197-208. ISBN 9783319279312
Zubiaga, Arkaitz (2017) Analysing the social fingerprints of pro-independence movements. In: Reilly, Paul and Veneti, Anastasia and Atanasova, Dimitrinka, (eds.) Politics, Protest, Emotion: Interdisciplinary Perspectives. Sheffield, UK: Information School, University of Sheffield, pp. 123-127.
Conference Item
UNSPECIFIED (2001) 4D volume rendering with the shear warp factorisation: Extensions and quantitative results. In: 5th International Conference on Information Visualisation (IV 2001), LONDON, ENGLAND, JUL 25-27, 2001. Published in: FIFTH INTERNATIONAL CONFERENCE ON INFORMATION VISUALISATION, PROCEEDINGS pp. 435-443. ISBN 0-7695-1195-3.
UNSPECIFIED (2000) Adaptive radial basis function emulators for robust design. In: 4th International Conference on Adaptive Computing in Design and Manufacture (ACDM 00), UNIV PLYMOUTH, PLYMOUTH, ENGLAND, APR, 2000. Published in: EVOLUTIONARY DESIGN AND MANUFACTURE pp. 343-350. ISBN 1-85233-300-6.
UNSPECIFIED (2005) Adding conflict and confusion to CSP. In: 13th International Symposium of Formal Methods Europe, Tyne, ENGLAND, JUL 18-22, 2005. Published in: FM 2005: FORMAL METHODS, PROCEEDINGS, 3582 pp. 205-220. ISBN 3-540-27882-6. ISSN 0302-9743.
UNSPECIFIED (2004) Advanced unified power flow controller model for power system steady state control. In: 2nd International Conference on Electric Utility Deregulation, Restructuring and Power Technologies, Hong Kong, PEOPLES R CHINA, APR 05-08, 2004. Published in: PROCEEDINGS OF THE 2004 IEEE INTERNATIONAL CONFERENCE ON ELECTRIC UTILITY DEREGULATION, RESTRUCTURING AND POWER TECHNOLOGIES, VOLS 1 AND 2 pp. 228-233. ISBN 0-7803-8237-4.
UNSPECIFIED (1997) Against structured approaches: Information requirements analysis as a socially mediated process INFORMATION SYSTEMS TRACK - ORGANIZATIONAL SYSTEMS AND TECHNOLOGY. In: 30th Hawaii International Conference on System Sciences (HICSS 30), WAILEA, HI, JAN 07-10, 1997. Published in: THIRTIETH HAWAII INTERNATIONAL CONFERENCE ON SYSTEM SCIENCES, VOL 3 pp. 179-187. ISBN 0-8186-7743-0. ISSN 1060-3425.
UNSPECIFIED (1997) Application of artificial neural networks to the prediction of minor axis steel connections. In: 3rd International Conference in the Application of Artificial Intelligence to Civil and Structural Engineering, EDINBURGH, SCOTLAND, AUG 17-19, 1993. Published in: COMPUTERS & STRUCTURES, 63 (4). pp. 685-692. ISSN 0045-7949.
UNSPECIFIED (2000) Applying agents to bioinformatics in GeneWeaver THE FUTURE OF INFORMATION AGENTS IN CYBERSPACE. In: 4th International Workshop on Cooperative Information Agents (CIA 2000), BOSTON, MA, JUL 07-09, 2000. Published in: COOPERATIVE INFORMATION AGENTS IV, 1860 pp. 60-71. ISBN 3-540-67703-8. ISSN 0302-9743.
UNSPECIFIED (2005) Applying emergence to the design of routing protocols for the security of wireless ad hoc networks. In: 1st International Conference on Security and Privacy for Emerging Areas in Communication Networks, Athens, GREECE, SEP 05-09, 2005. Published in: First International Conference on Security and Privacy for Emerging Areas in Communications Networks, Proceedings pp. 303-314. ISBN 0-7695-2369-2.
UNSPECIFIED (2006) Approximate estimation in generalized linear mixed models with applications to the Rasch model. In: 2nd Euro-Japanese Workshop on Stochastic Modelling for Finance Insurance Production and Reliability, Chamonix, FRANCE, SEP 18-20, 2002. Published in: COMPUTERS & MATHEMATICS WITH APPLICATIONS, 51 (2). pp. 269-278. doi:10.1016/j.camwa.2005.11.012 ISSN 0898-1221.
UNSPECIFIED (1999) Approximate learning in complex dynamic Bayesian networks. In: 15th Conference on Uncertainty in Artificial Intelligence, ROYAL INST TECHNOL, STOCKHOLM, SWEDEN, JUL 30-AUG 01, 1999. Published in: UNCERTAINTY IN ARTIFICIAL INTELLIGENCE, PROCEEDINGS pp. 585-593. ISBN 1-55860-614-9.
UNSPECIFIED (2004) Arbitrarily-shaped video coding: Smart padding versus MPEG-4 LPE/ZERO padding. In: IEEE International Conference on Multimedia and Expo (ICME), Taipei, TAIWAN, JUN 27-30, 2004. Published in: 2004 IEEE INTERNATIONAL CONFERENCE ON MULTIMEDIA AND EXP (ICME), VOLS 1-3 pp. 25-28. ISBN 0-7803-8603-5.
UNSPECIFIED (2005) Automated analysis of simulation output data. In: 2005 Winter Simulation Conference (WSC 05), Orlando, FL, DEC 04-07, 2005. Published in: Proceedings of the 2005 Winter Simulation Conference, Vols 1-4 pp. 763-770. ISBN 0-7803-9519-0.
UNSPECIFIED (2004) Automatic selection of attributes by importance in relevance feedback visualisation. In: 8th International Conference on Information Visualisation, London, ENGLAND, JUL 14-16, 2004. Published in: EIGHTH INTERNATIONAL CONFERENCE ON INFORMATION VISUALISATION, PROCEEDINGS pp. 588-595. ISBN 0-7695-2177-0. ISSN 1093-9547.
UNSPECIFIED (2003) Automatic verification of annotated code. In: 23rd International Conference on Formal Techniques for Networked and Distributed Systems, BERLIN, GERMANY, SEP 29-OCT 02, 2003. Published in: FORMAL TECHNIQUES FOR NETWORKED AND DISTRIBUTED SYSTEMS - FORTE 2003, 2767 pp. 127-143. ISBN 3-540-20175-0. ISSN 0302-9743.
UNSPECIFIED (1992) BOOTSTRAP ESTIMATES OF A NEW CLASSICAL-MODEL OF UNEMPLOYMENT. In: 9TH BIENNIAL CONF ON MODELLING AND SIMULATION, GREENMOUNT, AUSTRALIA, DEC 10-12, 1991. Published in: MATHEMATICS AND COMPUTERS IN SIMULATION, 33 (5-6). pp. 545-550. ISSN 0378-4754.
UNSPECIFIED (2001) Building rules. In: 4th International Conference on Cognitive Technology - Instruments of Mind, UNIV WARWICK, COVENTRY, ENGLAND, AUG 06-09, 2001. Published in: COGNITIVE TECHNOLOGY: INSTRUMENTS OF MIND, PROCEEDINGS, 2117 pp. 267-281. ISBN 3-540-42406-7. ISSN 0302-9743.
UNSPECIFIED (1998) The "Burnside process" converges slowly. In: 2nd International Workshop on Randomization and Approximation Techniques in Computer Science (RANDOM 98), BARCELONA, SPAIN, OCT 08-10, 1998. Published in: RANDOMIZATION AND APPROXIMATION TECHNIQUES IN COMPUTER SCIENCE, 1518 pp. 331-345. ISBN 3-540-65142-X. ISSN 0302-9743.
UNSPECIFIED (2002) A CAL wizard. In: International Conference on Computers in Education, AUCKLAND, NEW ZEALAND, DEC 03-06, 2002. Published in: INTERNATIONAL CONFERENCE ON COMPUTERS IN EDUCATION, VOLS I AND II, PROCEEDINGS pp. 1512-1513. ISBN 0-7695-1509-6.
UNSPECIFIED (1994) CARRY SAVE AND PIPELINING TECHNIQUES FOR WAVE DIGITAL FILTERS DIGITAL SIGNAL PROCESSING (DSP). In: 1994 IEEE International Symposium on Circuits and Systems, LONDON, ENGLAND, MAY 30-JUN 02, 1994. Published in: 1994 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2 B309-B312. ISBN 0-7803-1915-X.
UNSPECIFIED (1994) CHARACTERISING COMPUTATIONAL KERNELS TO PREDICT PERFORMANCE ON PARALLEL SYSTEMS PROCEEDINGS OF THE 1994 WORLD TRANSPUTER CONGRESS. In: 1994 World Transputer Congress (WTC 94) - Transputer Applications and Systems 94, COMO, ITALY, SEP 05-07, 1994. Published in: TRANSPUTER APPLICATIONS AND SYSTEMS '94, 41 pp. 105-119. ISBN 90-5199-177-0. ISSN 0925-4986.
UNSPECIFIED (1994) A COMPUTATIONAL MODEL FOR MULTI-AGENT INTERACTION IN CONCURRENT ENGINEERING. In: 2nd International Conference on Concurrent Engineering and Electronic Design Automation, BOURNEMOUTH, ENGLAND, APR 07-08, 1994. Published in: PROCEEDINGS OF THE 2ND INTERNATIONAL CONFERENCE ON CONCURRENT ENGINEERING AND ELECTRONIC DESIGN AUTOMATION pp. 227-232. ISBN 1-56555-074-9.
UNSPECIFIED (1994) CONCORDANCERS IN THE DESIGN AND IMPLEMENTATION OF FOREIGN-LANGUAGE COURSES. In: Euro CALL 93 Conference, UNIV HULL, HULL, ENGLAND, SEP 15-17, 1993. Published in: COMPUTERS & EDUCATION, 23 (1-2). pp. 89-96. ISSN 0360-1315.
UNSPECIFIED (2004) Causal identification in design networks. In: 3rd Mexican International Conference on Artificial Intelligence (MICAI 2004), Mexico City, MEXICO, APR 26-30, 2004. Published in: MICAI 2004: ADVANCES IN ARTIFICIAL INTELLIGENCE, 2972 pp. 517-526. ISBN 3-540-21459-3. ISSN 0302-9743.
UNSPECIFIED (2000) Characterisation of an electrodeposited conducting polymer FET array for vapour and odour sensing. In: 7th International Symposium on Olfaction and Electronic Noses (ISOEN 2000), BRIGHTON, ENGLAND, JUL, 2000. Published in: ELECTRONIC NOSES AND OLFACTION 2000 pp. 35-42. ISBN 0-7503-0764-1.
UNSPECIFIED (2001) Cognitive dimensions of notations: Design tools for cognitive technology. In: 4th International Conference on Cognitive Technology - Instruments of Mind, UNIV WARWICK, COVENTRY, ENGLAND, AUG 06-09, 2001. Published in: COGNITIVE TECHNOLOGY: INSTRUMENTS OF MIND, PROCEEDINGS, 2117 pp. 325-341. ISBN 3-540-42406-7. ISSN 0302-9743.
UNSPECIFIED (2000) Collaborative R&D projects: A best practice management model MANAGEMENT IN THE 21ST CENTURY. In: IEEE International Conference on Management of Innovation and Technology (ICMIT 2000), SINGAPORE, SINGAPORE, NOV 12-15, 2000. Published in: PROCEEDINGS OF THE 2000 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2 pp. 217-223. ISBN 0-7803-6652-2.
UNSPECIFIED (2000) Collaborative R&D projects: A framework for effective management MANAGEMENT IN THE 21ST CENTURY. In: IEEE International Conference on Management of Innovation and Technology (ICMIT 2000), SINGAPORE, SINGAPORE, NOV 12-15, 2000. Published in: PROCEEDINGS OF THE 2000 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2 pp. 210-216. ISBN 0-7803-6652-2.
UNSPECIFIED (1995) Common subsequences and supersequences and their expected length. In: 6th Annual Symposium on Combinatorial Pattern Matching (CPM 95), ESPOO, FINLAND, JUL 05-07, 1995. Published in: COMBINATORIAL PATTERN MATCHING, 937 pp. 55-63. ISBN 3-540-60044-2. ISSN 0302-9743.
UNSPECIFIED (2003) Compartmental modelling to assess stability of topotecan bound to DNA. In: 5th IFAC Symposium on Modelling and Control in Biomedical Systems, MELBOURNE, AUSTRALIA, AUG 21-23, 2003. Published in: MODELLING AND CONTROL IN BIOMEDICAL SYSTEMS 2003 (INCLUDING BIOLOGICAL SYSTEMS) pp. 403-408. ISBN 0-08-044159-9. ISSN 0962-9505.
UNSPECIFIED (2005) Computer simulation of multiscale phenomena in colloidal liquid crystals. In: Europhysics Conference on Computational Physics, Genoa, ITALY, SEP 01-04, 2004. Published in: COMPUTER PHYSICS COMMUNICATIONS, 169 (1-3). pp. 433-437. doi:10.1016/j.cpc.2005.03.096 ISSN 0010-4655.
UNSPECIFIED (2004) Computer support for constructonism in context. In: 4th IEEE International Conference on Advanced Learning Technologies, Joensuu, FINLAND, AUG 30-SEP 01, 2004. Published in: IEEE INTERNATIONAL CONFERENCE ON ADVANCED LEARNING TECHNOLOGIES, PROCEEDINGS pp. 216-220. ISBN 0-7695-2181-9.
UNSPECIFIED (1997) Computing Sylow subgroups in permutation groups. In: 1st MAGMA Conference on Computational Algebra and Number Theory, QUEEN MARY AND WESTFIELD COLLEGE, LONDON, ENGLAND, JUL 23-27, 1993. Published in: JOURNAL OF SYMBOLIC COMPUTATION, 24 (3-4). pp. 303-316. ISSN 0747-7171.
UNSPECIFIED (1997) Computing chief series, composition series and socles in large permutation groups. In: 1st MAGMA Conference on Computational Algebra and Number Theory, QUEEN MARY AND WESTFIELD COLLEGE, LONDON, ENGLAND, JUL 23-27, 1993. Published in: JOURNAL OF SYMBOLIC COMPUTATION, 24 (3-4). pp. 285-301. ISSN 0747-7171.
UNSPECIFIED (2000) Computing the girth of a planar graph. In: 27th International Colloquium on Automata Languages and Programming (ICALP 2000), GENEVA, SWITZERLAND, JUL 09-15, 2000. Published in: AUTOMATA LANGUAGES AND PROGRAMMING, 1853 pp. 821-831. ISBN 3-540-67715-1. ISSN 0302-9743.
UNSPECIFIED (1995) Confluence of processes and systems of objects. In: 6th International Joint Conference on the Theory and Practice of Software Development (TAPSOFT 95), UNIV AARHUS, AARHUS, DENMARK, MAY 22-26, 1995. Published in: TAPSOFT '95: THEORY AND PRACTICE OF SOFTWARE DEVELOPMENT, 915 pp. 217-231. ISBN 3-540-59293-8. ISSN 0302-9743.
UNSPECIFIED (1997) Constructing a normal form for property theory. In: 14th International Conference on Automated Deduction (CADE-14), TOWNSVILLE, AUSTRALIA, JUL 13-17, 1997. Published in: AUTOMATED DEDUCTION - CADE-14, 1249 pp. 237-251. ISBN 3-540-63104-6.
UNSPECIFIED (1997) Constructing a representation of the group (2,3,7;11). In: 1st MAGMA Conference on Computational Algebra and Number Theory, QUEEN MARY AND WESTFIELD COLLEGE, LONDON, ENGLAND, JUL 23-27, 1993. Published in: JOURNAL OF SYMBOLIC COMPUTATION, 24 (3-4). pp. 489-492. ISSN 0747-7171.
UNSPECIFIED (1999) Continuing research in multi-agent systems. In: Workshop of the UK Special Interest Group on Multi-Agent Systems (UKMAS 98), MANCHESTER, ENGLAND, DEC, 1998. Published in: KNOWLEDGE ENGINEERING REVIEW, 14 (3). pp. 279-283. ISSN 0269-8889.
UNSPECIFIED (2003) Controller synthesis for object Petri nets. In: 5th International Conference on Formal Engineering Methods, SINGAPORE, SINGAPORE, NOV 05-07, 2003. Published in: FORMAL METHODS AND SOFTWARE ENGINEERING, PROCEEDINGS, 2885 pp. 432-451. ISBN 3-540-20461-X. ISSN 0302-9743.
UNSPECIFIED (1992) DEFINING CONDITIONAL-INDEPENDENCE USING COLLAPSES. In: INTERNATIONAL WORKSHOP ON SEMANTICS FOR CONCURRENCY, UNIV LEICESTER, LEICESTER, ENGLAND, JUL 23-25, 1990. Published in: THEORETICAL COMPUTER SCIENCE, 101 (2). pp. 337-359. ISSN 0304-3975.
UNSPECIFIED (1994) DESIGN OF 2-D ALLPASS DIGITAL FILTERS FOR DELAY EQUALISATION DIGITAL SIGNAL PROCESSING (DSP). In: 1994 IEEE International Symposium on Circuits and Systems, LONDON, ENGLAND, MAY 30-JUN 02, 1994. Published in: 1994 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2 B217-B220. ISBN 0-7803-1915-X.
UNSPECIFIED (1994) DESIGNING PREDICTIVE EXPERT SYSTEMS FOR RECOVERY OF ERRORS IN CAM PROCESSES OF AUTOMOBILES. In: 2nd World Congress on Expert Systems - Moving Towards Expert Systems Globally in the 21st-Century, LISBON, PORTUGAL, JAN 10-14, 1994. Published in: MOVING TOWARD EXPERT SYSTEMS GLOBALLY IN THE 21ST CENTURY pp. 1110-1121. ISBN 1-882345-00-2.
UNSPECIFIED (1994) DIRECT DESIGN OF 2-D RECURSIVE N-TH BAND DIGITAL FILTERS AND POLYPHASE FILTER BANKS DIGITAL SIGNAL PROCESSING (DSP). In: 1994 IEEE International Symposium on Circuits and Systems, LONDON, ENGLAND, MAY 30-JUN 02, 1994. Published in: 1994 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2 B213-B216. ISBN 0-7803-1915-X.
UNSPECIFIED (2005) Data-abstraction refinement: A game semantic approach. In: 12th International Static Analysis Symposium (SAS 2005), Imperial Coll London, London, ENGLAND, SEP 07-09, 2005. Published in: STATIC ANALYSIS, PROCEEDINGS, 3672 pp. 102-117. ISBN 3-540-28584-9. ISSN 0302-9743.
UNSPECIFIED (2003) Datagraphs in algebraic geometry and K3 surfaces. In: 2nd International Conference on Symbolic and Numerical Scientific Computation, HAGENBERG, AUSTRIA, SEP 12-14, 2001. Published in: SYMBOLIC AND NUMERICAL SCIENTIFIC COMPUTATION, 2630 pp. 210-224. ISBN 3-540-40554-2. ISSN 0302-9743.
UNSPECIFIED (2005) Deciding properties of message sequence charts. In: Seminar on Scenarios - Models, Transformations and Tools, Schloss Dagstuhl, GERMANY, SEP 07-12, 2003. Published in: SCENARIOS: MODELS, TRANSFORMATIONS AND TOOLS, 3466 pp. 43-65. ISBN 3-540-26189-3. ISSN 0302-9743.
UNSPECIFIED (1998) Design and VLSI implementation of multirate filter banks based on approximately linear phase allpass sections. In: IEEE International Symposium on Circuits and Systems (ISCAS 98), MONTEREY, CA, MAY 31-JUN 03, 1998. Published in: ISCAS '98 - PROCEEDINGS OF THE 1998 INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-6 D413-D416. ISBN 0-7803-4455-3.
UNSPECIFIED (2005) Designing a domain-specific contract language: A metamodelling approach. In: 1st European Conference on Model Driven Architecture - Foundations and Applications, Nuremberg, GERMANY, NOV 07-10, 2005. Published in: MODEL DRIVEN ARCHITECTURE FOUNDATIONS AND APPLICATIONS, PROCEEDINGS, 3748 pp. 175-189. ISBN 3-540-30026-0. ISSN 0302-9743.
UNSPECIFIED (2005) Designing efficient fail-safe multitolerant systems. In: 25th International Conference on Formal Techniques for Networked and Distributed Systems, Taipei, TAIWAN, OCT 02-05, 2005. Published in: FORMAL TECHNIQUES FOR NETWORKED AND DISTRIBUTED SYSTEMS - FORTE 2005, 3731 pp. 428-442. ISBN 3-540-29189-X. ISSN 0302-9743.
UNSPECIFIED (1997) Detecting false matches in string-matching algorithms. In: Conference on Combinatorial Pattern Matching, PADOVA, ITALY, 1993. Published in: ALGORITHMICA, 18 (4). pp. 512-520. ISSN 0178-4617.
UNSPECIFIED (2003) Determination of tea quality by using a neural network based electronic nose. In: International Joint Conference on Neural Networks, PORTLAND, OR, JUL 20-24, 2003. Published in: PROCEEDINGS OF THE INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS 2003, VOLS 1-4 pp. 404-409. ISBN 0-7803-7898-9. ISSN 1098-7576.
UNSPECIFIED (1998) Developing a simulation strategy for British Airways OR. In: 1998 Winter Simulation Conference on Simulation in the 21st-Century (WSC 98), WASHINGTON, D.C., DEC 13-16, 1998. Published in: 1998 WINTER SIMULATION CONFERENCE PROCEEDINGS, VOLS 1 AND 2 pp. 1183-1189. ISBN 0-7803-5133-9.
UNSPECIFIED (2001) Dynamic instrumentation and performance prediction of application execution. In: 9th International Conference on High-Performance Computing and Networking, AMSTERDAM, NETHERLANDS, JUN 25-27, 2001. Published in: HIGH-PERFORMANCE COMPUTING AND NETWORKING, 2110 pp. 513-523. ISBN 3-540-42293-5. ISSN 0302-9743.
UNSPECIFIED (2003) Dynamic modification of system structures using LLPNs. In: 5th International Andrei Ershov Memorial Conference on Perspectives of System Informatics, Akademogordok, RUSSIA, JUL 09-12, 2003. Published in: PERSPECTIVES OF SYSTEM INFORMATICS, 2890 pp. 274-293. ISBN 3-540-20813-5. ISSN 0302-9743.
UNSPECIFIED (2004) Dynamic scheduling of parallel jobs with QoS demands in multiclusters and grids. In: 5th International Workshop on Grid Computing, Pittsburgh, PA, NOV 08, 2004. Published in: FIFTH IEEE/ACM INTERNATIONAL WORKSHOP ON GRID COMPUTING, PROCEEDINGS pp. 402-409. ISBN 0-7695-2256-4.
UNSPECIFIED (1993) ENERGY MANAGEMENT IN AN AUTOMOTIVE ELECTRIC HEAT ENGINE HYBRID POWERTRAIN USING FUZZY DECISION-MAKING. In: 1993 IEEE International Symposium on Intelligent Control, CHICAGO, IL, AUG 25-27, 1993. Published in: PROCEEDINGS OF THE 1993 IEEE INTERNATIONAL SYMPOSIUM ON INTELLIGENT CONTROL pp. 463-468. ISBN 0-7803-1206-6.
UNSPECIFIED (1994) ENVIRONMENTAL SIMULATION IN THE DEVELOPMENT OF CRITICAL SYSTEMS. In: 1994 European Simulation Multiconference - Modelling and Simulation 1994, UNIV POLITECN CATALYNYA, BARCELONA, SPAIN, JUN 01-03, 1994. Published in: MODELLING AND SIMULATION, ESM 94 pp. 98-102. ISBN 1-56555-028-5.
UNSPECIFIED (1995) AN EXTENSIONAL TREATMENT OF LAZY DATA-FLOW DEADLOCK. In: Workshop on Topology and Completion in Semantics, CHARTRES, FRANCE, NOV 18-20, 1993. Published in: THEORETICAL COMPUTER SCIENCE, 151 (1). pp. 195-205. ISSN 0304-3975.
UNSPECIFIED (1999) Efficient analytical modelling of multi-level set-associative caches. In: 7th International Conference and Exhibition on High-Performance Computing and Networking (HPCN EUROPE 99), AMSTERDAM, NETHERLANDS, APR 12-14, 1999. Published in: HIGH-PERFORMANCE COMPUTING AND NETWORKING, PROCEEDINGS, 1593 pp. 473-482. ISBN 3-540-65821-1. ISSN 0302-9743.
UNSPECIFIED (2006) Efficient model checking for LTL with partial order snapshots. In: 12th International Conference on Tools and Algorithms for the Construction and Analysis of Systems, Vienna, AUSTRIA, MAR 25-APR 02, 2006. Published in: TOOLS AND ALGORITHMS FOR THE CONSTRUCTION AND ANALYSIS OF SYSTEMS, PROCEEDINGS, 3920 pp. 272-286. ISBN 3-540-33056-9. ISSN 0302-9743.
UNSPECIFIED (1995) Efficient parallel algorithms for some tree layout problems. In: 1st Annual International Computing and Combinatorics Conference (COCOON 95), XIAN, PEOPLES R CHINA, AUG 24-26, 1995. Published in: COMPUTING AND COMBINATORICS, 959 pp. 313-323. ISBN 3-540-60216-X. ISSN 0302-9743.
UNSPECIFIED (2003) Electronic nose based tea quality standardization. In: INNS/IEEE International Joint Conference on Neural Networks (IJCNN 03), PORTLAND, OR, JUL 20-24, 2003. Published in: NEURAL NETWORKS, 16 (5-6). pp. 847-853. doi:10.1016/S0893-6080(03)00092-3 ISSN 0893-6080.
UNSPECIFIED (1997) Empirical modelling for educational technology HUMANIZING THE INFORMATION AGE. In: 2nd International Conference on Cognitive Technology - Humanizing the Information Age (CT 97), AIZU WAKAMATSU, JAPAN, AUG 25-28, 1997. Published in: SECOND INTERNATIONAL CONFERENCE ON COGNITIVE TECHNOLOGY, PROCEEDINGS pp. 54-68. ISBN 0-8186-8084-9.
UNSPECIFIED (2001) Estimating a boolean perceptron from its average satisfying assignment: A bound on the precision required. In: 14th Annual Conference on Computational Learning Theory (COLT 2001)/5th European Conference on Computational Learning Theory (EuroCOLT 2001), AMSTERDAM, NETHERLANDS, JUL 16-19, 2001. Published in: COMPUTATIONAL LEARNING THEORY, PROCEEDINGS, 2111 pp. 116-127. ISBN 3-540-42343-5. ISSN 0302-9743.
UNSPECIFIED (2004) Experiences of an educational technology PhD. In: 4th IEEE International Conference on Advanced Learning Technologies, Joensuu, FINLAND, AUG 30-SEP 01, 2004. Published in: IEEE INTERNATIONAL CONFERENCE ON ADVANCED LEARNING TECHNOLOGIES, PROCEEDINGS pp. 1060-1061. ISBN 0-7695-2181-9.
UNSPECIFIED (1998) Explicit OR-dispersers with polylogarithmic degree. In: 27th Annual ACM Symposium on Theory of Computing, LAS VEGAS, NEVADA, MAY 29-JUN 01, 1995. Published in: JOURNAL OF THE ACM, 45 (1). pp. 123-154. ISSN 0004-5411.
UNSPECIFIED (2002) Fast mining of massive tabular data via approximate distance computations. In: 18th International Conference on Data Engineering, SAN JOSE, CA, FEB 26-MAR 01, 2002. Published in: 18TH INTERNATIONAL CONFERENCE ON DATA ENGINEERING, PROCEEDINGS pp. 605-614. ISBN 0-7695-1531-2. ISSN 1063-6382.
UNSPECIFIED (2003) Feature reduction using Support Vector Machines for binary gas detection. In: 7th International Work Conference on Artificial and Natural Neural Networks, MENORCA, SPAIN, JUN 03-06, 2003. Published in: ARTIFICIAL NEURAL NETS PROBLEM SOLVING METHODS, PT II, 2687 pp. 798-805. ISBN 3-540-40211-X. ISSN 0302-9743.
UNSPECIFIED (2003) Fixed point in fractal image compression as watermarking. In: IEEE International Conference on Image Processing, BARCELONA, SPAIN, SEP 14-17, 2003. Published in: 2003 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL 2, PROCEEDINGS pp. 475-478. ISBN 0-7803-7750-8.
UNSPECIFIED (1997) Formalizing real-time scheduling as program refinement. In: 4th International AMAST Workshop on Real-Time Systems and Concurrent and Distributed Software (ARTS 97), PALMA DE MALLORCA, SPAIN, MAY 21-23, 1997. Published in: TRANSFORMATION-BASED REACTIVE SYSTEMS DEVELOPMENT, 1231 pp. 295-309. ISBN 3-540-63010-4. ISSN 0302-9743.
UNSPECIFIED (1998) Forming a critical community through telematics. In: CAL 97 Symposium on Superhighways, Super CAL, Super Learning, UNIV EXETER, EXETER, ENGLAND, MAR 23-26, 1997. Published in: COMPUTERS & EDUCATION, 30 (1-2). pp. 23-30. ISSN 0360-1315.
UNSPECIFIED (2004) Fragile watermarking scheme exploiting non-deterministic block-wise dependency. In: 17th International Conference on Pattern Recognition (ICPR), British Machine Vis Assoc, Cambridge, ENGLAND, AUG 23-26, 2004. Published in: PROCEEDINGS OF THE 17TH INTERNATIONAL CONFERENCE ON PATTERN RECOGNITION, VOL 4 pp. 849-852. ISBN 0-7695-2128-2. ISSN 1051-4651.
UNSPECIFIED (2004) Full parallel process for multidimensional wave digital filtering via multidimensional retiming technique. In: IEEE International Symposium on Circuits and Systems, Vancouver, CANADA, MAY 23-26, 2004. Published in: 2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 3, PROCEEDINGS pp. 209-212. ISBN 0-7803-8251-X.
UNSPECIFIED (2003) Functions of multiple-valued logic and the complexity of constraint satisfaction: A short survey. In: 33rd International Symposium on Multiple-Valued Logic (ISMVL 2003), MEIJI UNIV, TOKYO, JAPAN, MAY 16-19, 2003. Published in: 33RD INTERNATIONAL SYMPOSIUM ON MULTIPLE-VALUED LOGIC, PROCEEDINGS pp. 343-351. ISBN 0-7695-1918-0. ISSN 0195-623X.
UNSPECIFIED (2002) Future core networks system (FCNS) - A secure signalling protocol stack for the UMTS Core Network. In: 3rd International Conference on 3G Mobile Communication Technologies, LONDON, ENGLAND, MAY 08-10, 2002. Published in: THIRD INTERNATIONAL CONFERENCE ON 3G MOBILE COMMUNICATION TECHNOLOGIES (489). pp. 329-333. ISBN 0-85296-749-7. ISSN 0537-9989.
UNSPECIFIED (2000) Generating e-capability: The case of HSBC in the UK MANAGEMENT IN THE 21ST CENTURY. In: IEEE International Conference on Management of Innovation and Technology (ICMIT 2000), SINGAPORE, SINGAPORE, NOV 12-15, 2000. Published in: PROCEEDINGS OF THE 2000 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2 pp. 629-633. ISBN 0-7803-6652-2.
UNSPECIFIED (2005) Generating path conditions for timed systems. In: 5th International Conference on Integrated Formal Methods, Eindhoven, NETHERLANDS, NOV 29-DEC 02, 2005. Published in: INTEGRATED FORMAL METHODS, PROCEEDINGS, 3771 pp. 5-19. ISBN 3-540-30492-4. ISSN 0302-9743.
UNSPECIFIED (1999) Geometry, moments and Bayesian networks with hidden variables. In: 7th International Workshop on Artificial Intelligence and Statistics (Uncertainty 99), FT LAUDERDALE, FL, JAN 03-06, 1999. Published in: ARTIFICIAL INTELLIGENCE AND STATISTICS 99, PROCEEDINGS pp. 293-298. ISBN 1-55860-589-4.
UNSPECIFIED (2001) Grobner bases and factorisation in discrete probability and Bayes. In: Workshop on Symbolic Computation in Statistics, MONTREAL, CANADA, SEP, 1997. Published in: STATISTICS AND COMPUTING, 11 (1). pp. 37-46. ISSN 0960-3174.
UNSPECIFIED (1996) Guthrie's problem: New equivalences and rapid reductions. In: 20th International Colloquium on Automata, Languages and Programming (ICALP 93), LUND, SWEDEN, JUL, 1993. Published in: THEORETICAL COMPUTER SCIENCE, 154 (1). pp. 3-22. ISSN 0304-3975.
UNSPECIFIED (2003) How corporations e-source: From business technology projects to value networks. In: IEEE International Engineering Management Conference, ST JOHNS COLL, CAMBRIDGE, ENGLAND, AUG 18-20, 2002. Published in: INFORMATION SYSTEMS FRONTIERS, 5 (2). pp. 175-193. ISSN 1387-3326.
UNSPECIFIED (2001) Human identity in the age of software agents. In: 4th International Conference on Cognitive Technology - Instruments of Mind, UNIV WARWICK, COVENTRY, ENGLAND, AUG 06-09, 2001. Published in: COGNITIVE TECHNOLOGY: INSTRUMENTS OF MIND, PROCEEDINGS, 2117 pp. 442-451. ISBN 3-540-42406-7. ISSN 0302-9743.
UNSPECIFIED (2004) Hybrid fractal video coding with neighbourhood vector quantisation. In: Data Compression Conference (DCC 2004), Snowbird, UT, MAR 23-25, 2004. Published in: DCC 2004: DATA COMPRESSION CONFERENCE, PROCEEDINGS p. 573. ISBN 0-7695-2082-0. ISSN 1068-0314.
UNSPECIFIED (2004) Hybrid performance-based workload management for multiclusters and grids. In: 20th Annual UK Performance Engineering Workshop (UKPEW), Univ Bradford, Bradford, ENGLAND, JUL 07-08, 2004. Published in: IEE PROCEEDINGS-SOFTWARE, 151 (5). pp. 224-231. doi:10.1049/ip-sen:20041088 ISSN 1462-5970.
UNSPECIFIED (2004) Hybrid performance-oriented scheduling of moldable jobs with QoS demands in multiclusters and grids. In: 3rd International Conference on Grid and Cooperative Computing (GCC 2004), Wuhan, PEOPLES R CHINA, OCT 21-24, 2004. Published in: GRID AND COOPERATIVE COMPUTING GCC 2004, PROCEEDINGS, 3251 pp. 217-224. ISBN 3-540-23564-7. ISSN 0302-9743.
UNSPECIFIED (2005) Hybrid voting protocols and hardness of manipulation. In: 16th International Symposium on Algorithms and Computations (ISAAC 2005), Hainan, PEOPLES R CHINA, DEC 19-21, 2005. Published in: ALGORITHMS AND COMPUTATION, 3827 pp. 206-215. ISBN 3-540-30935-7. ISSN 0302-9743.
UNSPECIFIED (2004) Hypercausality, randomisation, and local and global independence. In: 1st European Workshop on Probabilistic Graphical Models (PGM 02), Cuenca, SPAIN, NOV, 2002. Published in: ADVANCES IN BAYESIAN NETWORKS, 146 pp. 1-18. ISBN 3-540-20876-3. ISSN 1434-9922.
UNSPECIFIED (2005) IKUM: An integrated web personalization platform based on content structures and user behavior. In: Workshop on Intelligent Techniques for Web Personalization, Acapulco, MEXICO, AUG 11, 2003. Published in: INTELLIGENT TECHNIQUES FOR WEB PERSONALIZATION, 3169 pp. 272-288. ISBN 3-540-29846-0. ISSN 0302-9743.
UNSPECIFIED (1993) IMPROVED RATE OF CONVERGENCE IN A MLP BASED ELECTRONIC NOSE. In: 2nd Irish Neural Networks Conference, QUEENS UNIV BELFAST, BELFAST, NORTH IRELAND, JUN 25-26, 1992. Published in: NEURAL COMPUTING RESEARCH AND APPLICATIONS pp. 267-271. ISBN 0-7503-0259-3.
UNSPECIFIED (1993) INTRODUCTION TO SEMIGROUP THEORY. In: 10TH INTERNATIONAL CONF ON ANALYSIS AND OPTIMIZATION OF SYSTEMS, SOPHIA ANTIPOLIS, FRANCE, JUN 09-12, 1992. Published in: ANALYSIS AND OPTIMIZATION OF SYSTEMS : STATE AND FREQUENCY DOMAIN APPROACHES FOR INFINITE-DIMENSIONAL SYSTEMS, 185 pp. 1-22. ISBN 3-540-56155-2. ISSN 0170-8643.
UNSPECIFIED (1991) ISL - AN INTERVAL LOGIC FOR THE SPECIFICATION OF REAL-TIME PROGRAMS. In: 2ND INTERNATIONAL SYMP ON FORMAL TECHNIQUES IN REAL-TIME AND FAULT-TOLERANT SYSTEMS, UNIV NIJMEGEN, NIJMEGEN, NETHERLANDS, JAN 08-10, 1992. Published in: FORMAL TECHNIQUES IN REAL-TIME AND FAULT-TOLERANT SYSTEMS, 571 pp. 1-20. ISBN 3-540-55092-5. ISSN 0302-9743.
UNSPECIFIED (2003) Idealized cooling system for further use of computer. In: International Conference on Computer, Communication and Control Technologies (CCCT 03)/9th International Conference on Information Systems Analysis and Synthesis (ISAS03), ORLANDO, FL, JUL 31-AUG 02, 2003. Published in: CCCT 2003, VOL 3, PROCEEDINGS pp. 398-401. ISBN 980-6560-05-1.
UNSPECIFIED (2003) Identification of performance characteristics from multi-view trace analysis. In: International Conference on Computational Science (ICCS 2003), MELBOURNE, AUSTRALIA, JUN 02-04, 2003. Published in: COMPUTATIONAL SICENCE - ICCS 2003, PT III, PROCEEDINGS, 2659 pp. 936-945. ISBN 3-540-40196-2. ISSN 0302-9743.
UNSPECIFIED (2004) Identifying efficiently solvable cases of Max CSP. In: 21st Annual Symposium on Theoretical Aspects of Computer Science, Montpellier, FRANCE, APR, 2004. Published in: STACS 2004, PROCEEDINGS, 2996 pp. 152-163. ISBN 3-540-21236-1. ISSN 0302-9743.
UNSPECIFIED (2001) Improvements to SPIHT for lossy image coding. In: 8th IEEE International Conference on Electronics, Circuits and Systems, ST JULIANS, MALTA, SEP 02-05, 2001. Published in: ICECS 2001: 8TH IEEE INTERNATIONAL CONFERENCE ON ELECTRONICS, CIRCUITS AND SYSTEMS, VOLS I-III, CONFERENCE PROCEEDINGS pp. 1363-1366. ISBN 0-7803-7057-0.
UNSPECIFIED (1996) Information systems planning in small business: A stages of growth analysis. In: 4th International Conference on Information System Development (ISD 94), BLED, SLOVENIA, SEP 20-22, 1994. Published in: JOURNAL OF SYSTEMS AND SOFTWARE, 33 (2). pp. 189-201. ISSN 0164-1212.
UNSPECIFIED (2000) Information systems: The case of the missing body. In: International Conference of the Information-Resources-Management-Association, ANCHORAGE, AK, MAY 21-24, 2000. Published in: CHALLENGES OF INFORMATION TECHNOLOGY MANAGEMENT IN THE 21ST CENTURY pp. 780-781. ISBN 1-878289-84-5.
UNSPECIFIED (1996) Integer-weight approximation of continuous-weight multilayer feedforward nets. In: 1996 IEEE International Conference on Neural Networks (ICNN 96), WASHINGTON, DC, JUN 02-06, 1996. Published in: ICNN - 1996 IEEE INTERNATIONAL CONFERENCE ON NEURAL NETWORKS, VOLS. 1-4 pp. 392-397. ISBN 0-7803-3211-3.
UNSPECIFIED (2005) Intelligent techniques for Web Personalization. In: Workshop on Intelligent Techniques for Web Personalization, Acapulco, MEXICO, AUG 11, 2003. Published in: INTELLIGENT TECHNIQUES FOR WEB PERSONALIZATION, 3169 pp. 1-36. ISBN 3-540-29846-0. ISSN 0302-9743.
UNSPECIFIED (2001) Interactive situation models for cognitive aspects of user-artefact interaction. In: 4th International Conference on Cognitive Technology - Instruments of Mind, UNIV WARWICK, COVENTRY, ENGLAND, AUG 06-09, 2001. Published in: COGNITIVE TECHNOLOGY: INSTRUMENTS OF MIND, PROCEEDINGS, 2117 pp. 356-372. ISBN 3-540-42406-7. ISSN 0302-9743.
UNSPECIFIED (2001) An Investigation into the design of an Interface for Interaction with a Virtual Environment representing a four-dimensional object. In: 5th Immersive Projection Technology/7th EUROGRAPHICS Virtual Environments Workshop, STUTTGART, GERMANY, MAY 16-18, 2001. Published in: IMMERSIVE PROJECTION TECHNOLOGY AND VIRTUAL ENVIRONMENTS 2001 pp. 83-92. ISBN 3-211-83671-3. ISSN 0946-2767.
UNSPECIFIED (2000) Investigation of the growth characteristics of E-coli using headspace analysis. In: 7th International Symposium on Olfaction and Electronic Noses (ISOEN 2000), BRIGHTON, ENGLAND, JUL, 2000. Published in: ELECTRONIC NOSES AND OLFACTION 2000 pp. 181-188. ISBN 0-7503-0764-1.
UNSPECIFIED (2001) Knowledge sharing through Intranet-based learning: A case study of an online learning center. In: 4th Pacific Asia Conference on Information Systems, HONG KONG, PEOPLES R CHINA, JUN 01-03, 2000. Published in: JOURNAL OF ORGANIZATIONAL COMPUTING AND ELECTRONIC COMMERCE, 11 (3). pp. 179-195. ISSN 1091-9392.
UNSPECIFIED (2005) Local communities: Relationships between 'real' and 'virtual' social capital. In: 2nd International Conference on Communities and Technologies, Milan, ITALY, JUN 13-16, 2005. Published in: Communities and Technologies 2005 pp. 41-53. ISBN 1-4020-3590-X.
UNSPECIFIED (2005) Local shape modelling using warplets. In: 14th Scandinavian Conference on Image Analysis, Joensuu, FINLAND, JUN 19-22, 2005. Published in: IMAGE ANALYSIS, PROCEEDINGS, 3540 pp. 439-448. ISBN 3-540-26320-9. ISSN 0302-9743.
UNSPECIFIED (1993) MACHINE OLFACTION - INTELLIGENT SENSING OF ODORS CONFERENCE PROCEEDINGS. In: 1993 IEEE International Conference on Systems, Man and Cybernetics, LE TOUQUET, FRANCE, OCT 17-20, 1993. Published in: 1993, INTERNATIONAL CONFERENCE ON SYSTEMS, MAN AND CYBERNETICS: SYSTEMS ENGINEERING IN THE SERVICE OF HUMANS, VOL 5 pp. 165-170. ISBN 0-7803-0911-1.
UNSPECIFIED (1990) MODIFIED ELECTRODE SURFACE IN AMPEROMETRIC BIOSENSORS. In: MEETING ON BIOSENSORS, LONDON, ENGLAND, DEC, 1988. Published in: MEDICAL & BIOLOGICAL ENGINEERING & COMPUTING, 28 (3). B10-B17. ISSN 0140-0118.
UNSPECIFIED (1997) Making and breaking engagements: An operational analysis of agent relationships METHODOLOGIES AND APPLICATIONS. In: 2nd Australian Workshop on Distributed Artificial Intelligence (DAI 96), CAIRNS, AUSTRALIA, AUG 27, 1996. Published in: MULTI-AGENT SYSTEMS, 1286 pp. 48-62. ISBN 3-540-63412-6. ISSN 0302-9743.
UNSPECIFIED (2004) Message sequence charts ADVANCES IN PETRI NETS. In: 4th Advanced Course on Petri Nets, Eichstaat, GERMANY, SEP, 2003. Published in: LECTURES ON CONCURRENCY AND PETRI NETS, 3098 pp. 537-558. ISBN 3-540-22261-8. ISSN 0302-9743.
UNSPECIFIED (2005) Message sequence charts: A survey. In: 5th International Conference on Application of Concurrency to System Design, St Malo, FRANCE, JUN 07-09, 2005. Published in: ACSD2005: Fifth International Conference on Application of Concurrency to System Design, Proceedings pp. 2-4. ISBN 0-7695-2363-3.
UNSPECIFIED (1998) Methodology ECOOP'98 WORKSHOP READER. In: ECOOP 98 Workshop on Object-Oriented Technology, BRUSSELS, BELGIUM, JUL 20-24, 1998. Published in: OBJECT-ORIENTED TECHNOLOGY, 1543 pp. 37-43. ISBN 3-540-65460-7. ISSN 0302-9743.
UNSPECIFIED (2003) Model based segmentation for retinal fundus images. In: 13th Scandinavian Conference on Image Analysis (SCIA 2003), HALMSTAD, SWEDEN, JUN 29-JUL 02, 2003. Published in: IMAGE ANALYSIS, PROCEEDINGS, 2749 pp. 422-429. ISBN 3-540-40601-8. ISSN 0302-9743.
UNSPECIFIED (2003) Model checking and testing combined. In: 30th International Colloquium on Automata, Languages and Programming (ICALP 2003), EINDHOVEN, NETHERLANDS, JUN 30-JUL 04, 2003. Published in: AUTOMATA, LANGUAGES AND PROGRAMMING, PROCEEDINGS, 2719 pp. 47-63. ISBN 3-540-40493-7. ISSN 0302-9743.
UNSPECIFIED (2005) Model checking, testing and verification working together. In: Automated Verification of Critical Systems Workshop (AVoCS), Southampton, ENGLAND, APR 02, 2003-APR 03, 2004. Published in: FORMAL ASPECTS OF COMPUTING, 17 (2). pp. 201-221. doi:10.1007/s00165-005-0059-8 ISSN 0934-5043.
UNSPECIFIED (2001) Modelling and improving human decision making with simulation. In: Winter Simulation Conference (WSC 01), ARLINGTON, VA, 2001. Published in: WSC'01: PROCEEDINGS OF THE 2001 WINTER SIMULATION CONFERENCE, VOLS 1 AND 2 pp. 913-920. ISBN 0-7803-7307-3.
UNSPECIFIED (2001) Modelling and simulation of aggregation nets. In: 1st IEEE/AMC International Symposium on Cluster Computing and the Grid, BRISBANE, AUSTRALIA, MAY 15-18, 2001. Published in: FIRST IEEE/ACM INTERNATIONAL SYMPOSIUM ON CLUSTER COMPUTING AND THE GRID, PROCEEDINGS pp. 456-463. ISBN 0-7695-1011-6.
UNSPECIFIED (2003) Modelling human decision-making FOUNDATIONS FOR SUCCESSFUL MODELLING & SIMULATION. In: 17th European Simulation Multiconference, NOTTINGHAM TRENT UNIV, NOTTINGHAM, ENGLAND, JUN 09-11, 2003. Published in: ESM 2003: 17TH EUROPEAN SIMULATION MULTICONFERENCE pp. 448-455. ISBN 3-936150-25-7.
UNSPECIFIED (2002) Modelling of 2D gel electrophoresis images for proteomics databases. In: 16th International Conference on Pattern Recognition (ICPR), QUEBEC CITY, CANADA, AUG 11-15, 2002. Published in: 16TH INTERNATIONAL CONFERENCE ON PATTERN RECOGNITION, VOL I, PROCEEDINGS pp. 767-770. ISBN 0-7695-1695-X. ISSN 1051-4651.
UNSPECIFIED (2003) Modelling with hierarchical object Petri nets. In: Workshop on the Concurrency Specification and programming (CS&P), BERLIN, GERMANY, OCT 07-09, 2002. Published in: FUNDAMENTA INFORMATICAE, 55 (2). pp. 129-147. ISSN 0169-2968.
UNSPECIFIED (1996) Models of DNA computation. In: 21st International Symposium on Mathematical Foundations of Computer Science (MFCS 96), JAGIELLONIAN UNIV, POLONIA INST, KRAKOW, POLAND, SEP 02-06, 1996. Published in: MATHEMATICAL FOUNDATIONS OF COMPUTER SCIENCE 1996, 1113 pp. 18-36. ISBN 3-540-61550-4. ISSN 0302-9743.
UNSPECIFIED (2001) Modes of simulation practice in business and the military. In: Winter Simulation Conference (WSC 01), ARLINGTON, VA, 2001. Published in: WSC'01: PROCEEDINGS OF THE 2001 WINTER SIMULATION CONFERENCE, VOLS 1 AND 2 pp. 805-811. ISBN 0-7803-7307-3.
UNSPECIFIED (2002) Motivated agent behaviour and requirements applied to virtual emergencies. In: 9th ECCAI-ACAI Summer School on Multi-Agent Systems and Applications, PRAGUE, CZECH REPUBLIC, JUL 02-13, 2001. Published in: MULTI-AGENT SYSTEMS AND APPLICATIONS II, 2322 pp. 44-60. ISBN 3-540-43377-5. ISSN 0302-9743.
UNSPECIFIED (1998) Motivated behaviour for goal adoption THEORIES, LANGUAGES, AND APPLICATIONS. In: 4th Australian Workshop on Distributed Artificial Intelligence, BRISBANE, AUSTRALIA, JUL 13, 1998. Published in: MULTI-AGENT SYSTEMS, 1544 pp. 58-73. ISBN 3-540-65477-1. ISSN 0302-9743.
UNSPECIFIED (2004) Motivating study of formal methods in the classroom. In: Symposium on Teaching Formal Methods (TFM 2004), Univ Ghent, Ghent, BELGIUM, NOV 18-19, 2004. Published in: TEACHING FORMAL METHODS, PROCEEDINGS, 3294 pp. 32-46. ISBN 3-540-23611-2. ISSN 0302-9743.
UNSPECIFIED (2004) Multidimensional wave digital filtering approach for numerical integration of non-linear shallow water equations. In: IEEE International Symposium on Circuits and Systems, Vancouver, CANADA, MAY 23-26, 2004. Published in: 2004 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 3, PROCEEDINGS pp. 213-216. ISBN 0-7803-8251-X.
UNSPECIFIED (2004) Multiple motion segmentation through a highly robust estimator. In: IEEE International Conference on Systems, Man and Cybernetics, The Hague, NETHERLANDS, OCT 10-13, 2004. Published in: 2004 IEEE INTERNATIONAL CONFERENCE ON SYSTEMS, MAN & CYBERNETICS, VOLS 1-7 pp. 3082-3087. ISBN 0-7803-8566-7. ISSN 1062-922X.
UNSPECIFIED (2001) Multiresolution Gaussian mixture models for visual motion estimation. In: International Conference on Image Processing (ICIP 2001), THESSALONIKI, GREECE, OCT 07-10, 2001. Published in: 2001 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL II, PROCEEDINGS pp. 921-924. ISBN 0-7803-6725-1.
UNSPECIFIED (1997) Multiresolution motion analysis combining feature-based and featureless estimates. In: International Conference on Image Processing, SANTA BARBARA, CA, OCT 26-29, 1997. Published in: INTERNATIONAL CONFERENCE ON IMAGE PROCESSING - PROCEEDINGS, VOL II pp. 160-163. ISBN 0-8186-8183-7.
UNSPECIFIED (1996) Multivariable frequency domain analysis for automotive vehicle ride control. In: 1996 IEEE International Conference on Control Applications, DEARBORN, MI, SEP 15-18, 1996. Published in: PROCEEDINGS OF THE 1996 IEEE INTERNATIONAL CONFERENCE ON CONTROL APPLICATIONS pp. 73-78. ISBN 0-7803-2975-9.
UNSPECIFIED (1995) Nanoengineered dual sensor device for intelligent monitoring of gases. In: 7th Conference on Sensors and Their Applications, DUBLIN, IRELAND, SEP 10-13, 1995. Published in: SENSORS AND THEIR APPLICATIONS VII pp. 58-59. ISBN 0-7503-0331-X.
UNSPECIFIED (1999) Negotiation in multi-agent systems. In: Workshop of the UK Special Interest Group on Multi-Agent Systems (UKMAS 98), MANCHESTER, ENGLAND, DEC, 1998. Published in: KNOWLEDGE ENGINEERING REVIEW, 14 (3). pp. 285-290. ISSN 0269-8889.
UNSPECIFIED (2002) Novel multigrid orientated solution adaptive time-step approaches. In: ICFD Conference on Numerical Methods for Fluid Dynamics, UNIV OXFORD, OXFORD, ENGLAND, MAR 26-29, 2001. Published in: INTERNATIONAL JOURNAL FOR NUMERICAL METHODS IN FLUIDS, 40 (3-4). pp. 507-519. doi:10.1002/fld.308 ISSN 0271-2091.
UNSPECIFIED (2000) Novel pattern-based power estimation tool with accurate glitch modeling EMERGING TECHNOLOGIES FOR THE 21ST CENTURY. In: IEEE International Symposium on Circuits and Systems (ISCAS 2000), GENEVA, SWITZERLAND, MAY 28-31, 2000. Published in: ISCAS 2000: IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS - PROCEEDINGS, VOL IV pp. 721-724. ISBN *************.
UNSPECIFIED (2003) Numerical investigations of near-wall turbulence structures. In: 2nd International Conference on Computational Fluid Dynamics, SYDNEY, AUSTRALIA, JUL 15-19, 2002. Published in: COMPUTATIONAL FLUID DYNAMICS 2002 pp. 329-334. ISBN 3-540-00739-3.
UNSPECIFIED (1992) ON NEAREST-NEIGHBOR GRAPHS. In: 19TH INTERNATIONAL COLLOQUIUM ON AUTOMATA, LANGUAGES, AND PROGRAMMING ( ICALP 92 ), TECH UNIV WIEN, VIENNA, AUSTRIA, JUL 13-17, 1992. Published in: AUTOMATA, LANGUAGES AND PROGRAMMING, 623 pp. 416-426. ISBN 3-540-55719-9. ISSN 0302-9743.
UNSPECIFIED (1992) OPTIMAL BINARY SPACE PARTITIONS FOR ORTHOGONAL OBJECTS. In: 1ST ANNUAL SYMP ON DISCRETE ALGORITHMS ( SODA ), SAN FRANCISCO, CA, JAN 22-24, 1990. Published in: JOURNAL OF ALGORITHMS, 13 (1). pp. 99-113. ISSN 0196-6774.
UNSPECIFIED (2003) Obstacle detection by direct estimation of multiple motion and scene structure from a moving stereo rig. In: IEEE International Conference on Systems, Man and Cybernetics (SMC 03), WASHINGTON, D.C., OCT 05-08, 2003. Published in: 2003 IEEE INTERNATIONAL CONFERENCE ON SYSTEMS, MAN AND CYBERNETICS, VOLS 1-5, CONFERENCE PROCEEDINGS pp. 2326-2331. ISBN 0-7803-7952-7. ISSN 1062-922X.
UNSPECIFIED (2005) Obstacle detection in urban traffic using stereovision. In: 8th IEEE International Conference on Intelligent Transportation Systems (ITSC 2005), Vienna, AUSTRIA, SEP 13-16, 2005. Published in: 2005 IEEE Intelligent Transportation Systems Conference (ITSC) pp. 633-638. ISBN 0-7803-9215-9.
UNSPECIFIED (1998) An Omega(root log log n) lower bound for routing in optical networks. In: 1994 ACM Symposium on Parallel Algorithms and Architectures, CAPE MAY, NEW JERSEY, JUN 27-29, 1994. Published in: SIAM JOURNAL ON COMPUTING, 27 (4). pp. 1083-1098. ISSN 0097-5397.
UNSPECIFIED (2004) On Nash equilibria in stochastic games. In: 18th International Workshop on Computer Science Logic/13th Annual Conference of the European-Association-for-Computer-Science-Logic, Karpacz, POLAND, SEP 20-24, 2004. Published in: COMPUTER SCIENCE LOGIC, PROCEEDINGS, 3210 pp. 26-40. ISBN 3-540-23024-6. ISSN 0302-9743.
UNSPECIFIED (2003) On a semantic definition of data independence. In: 6th International Conference on Typed Lambda Calculi and Applications (TLCA 2003), VALENCIA, SPAIN, JUN 10-12, 2003. Published in: TYPED LAMBDA CALCULI AND APPLICATIONS, PROCEEDINGS, 2701 pp. 226-240. ISBN 3-540-40332-9. ISSN 0302-9743.
UNSPECIFIED (1994) On bisimulation in the pi-calculus. In: 5th International Conference on Theory of Concurrency (CONCUR 94), UPPSALA, SWEDEN, AUG 22-25, 1994. Published in: CONCUR '94: CONCURRENCY THEORY, 836 pp. 315-330. ISBN 3-540-58329-7. ISSN 0302-9743.
UNSPECIFIED (1997) On confluence in the pi-calculus. In: 24th International Colloquium on Algorithm, Languages and Programming (ICALP 97), BOLOGNA, ITALY, JUL 07-11, 1997. Published in: AUTOMATA, LANGUAGES AND PROGRAMMING, 1256 pp. 314-324. ISBN 3-540-63165-8. ISSN 0302-9743.
UNSPECIFIED (1997) On design techniques for approximately linear phase recursive digital filters CIRCUITS AND SYSTEMS IN THE INFORMATION AGE. In: 1997 IEEE International Symposium on Circuits and Systems (ISCAS 97) - Circuits and Systems in the Information Age, HONG KONG, HONG KONG, JUN 09-12, 1997. Published in: ISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV pp. 2212-2215. ISBN 0-7803-3583-X.
UNSPECIFIED (2005) On implementation of global concurrent systems with local asynchronous controllers. In: 16th International Conference on Concurrency Theory, San Francisco, CA, AUG 23-26, 2005. Published in: CONCUR 2005 - CONCURRENCY THEORY, PROCEEDINGS, 3653 pp. 443-457. ISBN 3-540-28309-9. ISSN 0302-9743.
UNSPECIFIED (1997) On merging gradient estimation with mean-tracking techniques for cluster identification THE CURSE OF DIMENSIONALITY. In: 2nd IEEE European Workshop on Computer-Intensive Methods in Control and Signal Processing - Can We Beat the Curse of Dimensionality, PRAGUE, CZECH REPUBLIC, AUG 28-30, 1996. Published in: COMPUTER-INTENSIVE METHODS IN CONTROL AND SIGNAL PROCESSING pp. 63-72. ISBN 0-8176-3989-6.
UNSPECIFIED (2004) On model checking data-independent systems with arrays without reset. In: 2nd International Workshop on Verification and Computational Logic (VCL 2001), Florence, ITALY, SEP 04, 2001. Published in: THEORY AND PRACTICE OF LOGIC PROGRAMMING, 4 (Part 5-6). pp. 659-693. doi:10.1017/S1471068404002054 ISSN 1471-0684.
UNSPECIFIED (1998) On transformations of concurrent-object programs. In: 7th International Conference on Concurrency Theory (CONCUR 96), PISA, ITALY, AUG 26-29, 1996. Published in: THEORETICAL COMPUTER SCIENCE, 195 (2). pp. 259-289. ISSN 0304-3975.
UNSPECIFIED (1997) On weak circular squares in binary words. In: 8th Annual Symposium on Combinatorial Pattern Matching (CPM 97), UNIV AARHUS, AARHUS, DENMARK, JUN 30-JUL 02, 1997. Published in: COMBINATORIAL PATTERN MATCHING, PROCEEDINGS, 1264 pp. 76-82. ISBN 3-540-63220-4. ISSN 0302-9743.
UNSPECIFIED (2001) On-line algorithms for cardinality constrained bin packing problems. In: 12th Annual International Symposium on Algorithms and Computation, CHRISTCHURCH, NEW ZEALAND, DEC 19-21, 2001. Published in: ALGORITHMS AND COMPUTATION, PROCEEDINGS, 2223 pp. 695-706. ISBN 3-540-42985-9. ISSN 0302-9743.
UNSPECIFIED (2001) On-line scheduling a batch processing system to minimize total weighted job completion time. In: 12th Annual International Symposium on Algorithms and Computation, CHRISTCHURCH, NEW ZEALAND, DEC 19-21, 2001. Published in: ALGORITHMS AND COMPUTATION, PROCEEDINGS, 2223 pp. 380-389. ISBN 3-540-42985-9. ISSN 0302-9743.
UNSPECIFIED (2003) Optical flow estimation and segmentation through surface fitting and robust statistics. In: IEEE International Conference on Systems, Man and Cybernetics (SMC 03), WASHINGTON, D.C., OCT 05-08, 2003. Published in: 2003 IEEE INTERNATIONAL CONFERENCE ON SYSTEMS, MAN AND CYBERNETICS, VOLS 1-5, CONFERENCE PROCEEDINGS pp. 1390-1395. ISBN 0-7803-7952-7. ISSN 1062-922X.
UNSPECIFIED (2003) Optical flow estimation through velocity-based surface fitting. In: 7th Joint Conference on Information Sciences (JCIS), RES TRIANGLE PK, NC, SEP 26-30, 2003. Published in: PROCEEDINGS OF THE 7TH JOINT CONFERENCE ON INFORMATION SCIENCES pp. 805-808. ISBN 0-9707890-2-5.
UNSPECIFIED (1999) Optimising edge detector accuracy for individual image acquisition systems. In: 7th IEE Conference on Image Processing and its Applications (IPA99), UNIV MANCHESTER, MANCHESTER, ENGLAND, JUL 12-15, 1999. Published in: SEVENTH INTERNATIONAL CONFERENCE ON IMAGE PROCESSING AND ITS APPLICATIONS (465). pp. 143-147. ISBN 0-85296-717-9. ISSN 0537-9989.
UNSPECIFIED (2005) PAC-learnability of probabilistic deterministic finite state automata in terms of variation distance. In: 16th Annual International Conference on Algorithmic Learning Theory (LAT 2005), Singapore, SINGAPORE, OCT 08-11, 2005. Published in: ALGORITHMIC LEARNING THEORY, 3734 pp. 157-170. ISBN 3-540-29242-X. ISSN 0302-9743.
UNSPECIFIED (1995) THE PERFORMANCE OF ALTERNATIVE ESTIMATORS IN MODELS WITH GENERATED REGRESSORS WHEN THE EXPECTATIONS EQUATION HAS REDUCED EXPLANATORY POWER. In: MSSA/IMAC 10th Biennial Conference on Modelling and Simulation, UNIV W AUSTR, PERTH, AUSTRALIA, DEC 06-10, 1993. Published in: MATHEMATICS AND COMPUTERS IN SIMULATION, 39 (3-4). pp. 343-346. ISSN 0378-4754.
UNSPECIFIED (1993) PROBABILISTIC POLYNOMIALS, AC(0) FUNCTIONS AND THE POLYNOMIAL-TIME HIERARCHY. In: 8TH ANNUAL SYMP ON THEORETICAL ASPECTS OF COMPUTER SCIENCE ( STACS 91 ), HAMBURG, GERMANY, FEB 14-16, 1991. Published in: THEORETICAL COMPUTER SCIENCE, 113 (1). pp. 167-183. ISSN 0304-3975.
UNSPECIFIED (1992) PROBLEMS, PROMISES AND PERFORMANCE - SOME QUESTIONS FOR REAL-TIME SYSTEM SPECIFICATION. In: REX WORKSHOP ON REAL-TIME : THEORY IN PRACTICE, MOOK, NETHERLANDS, JUN 03-07, 1991. Published in: LECTURE NOTES IN COMPUTER SCIENCE, 600 pp. 315-324. ISSN 0302-9743.
UNSPECIFIED (1993) A PROTO-CONNECTIONIST THEORY OF ASSOCIATIVE MEMORY. In: World Congress on Neural Networks (WCNN 93, Portland), PORTLAND, OR, JUL 11-15, 1993. Published in: WCNN'93 - PORTLAND, WORLD CONGRESS ON NEURAL NETWORKS, VOL II pp. 118-121. ISBN 0-8058-1497-3.
UNSPECIFIED (2000) Paradigma: Agent implementation through jini. In: 11th International Workshop on Database and Expert Systems Applications, LONDON, ENGLAND, SEP 04-08, 2000. Published in: 11TH INTERNATIONAL WORKSHOP ON DATABASE AND EXPERT SYSTEMS APPLICATION, PROCEEDINGS pp. 453-457. ISBN 0-7695-0680-1.
UNSPECIFIED (1997) Parallel algorithms for the minimum cut and the minimum length tree layout problems. In: 1st Annual Conference on Computing and Combinatorics (COCOON 95), XIAN, PEOPLES R CHINA, AUG 24-26, 1995. Published in: THEORETICAL COMPUTER SCIENCE, 181 (2). pp. 267-287. ISSN 0304-3975.
UNSPECIFIED (2002) Partitioning planar graphs with costs and weights. In: 4th International Workshop on Algorithm Engineering and Experiments, SAN FRANCISCO, CALIFORNIA, JAN 04-05, 2002. Published in: ALGORITHM ENGINEERING AND EXPERIMENTS, 2409 pp. 98-110. ISBN 3-540-43977-3. ISSN 0302-9743.
UNSPECIFIED (2006) Performance analysis and improvement of overlay construction for peer-to-peer live streaming. In: 13th IEEE International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Atlanta, GA, SEP 27-29, 2005. Published in: SIMULATION-TRANSACTIONS OF THE SOCIETY FOR MODELING AND SIMULATION INTERNATIONAL, 82 (2). pp. 93-106. doi:10.1177/0037549706065877 ISSN 0037-5497.
UNSPECIFIED (2001) Performance evaluation of an agent-based resource management infrastructure for grid computing. In: 1st IEEE/AMC International Symposium on Cluster Computing and the Grid, BRISBANE, AUSTRALIA, MAY 15-18, 2001. Published in: FIRST IEEE/ACM INTERNATIONAL SYMPOSIUM ON CLUSTER COMPUTING AND THE GRID, PROCEEDINGS pp. 311-318. ISBN 0-7695-1011-6.
UNSPECIFIED (2006) Performance feature identification by comparative trace analysis. In: International Conference on Computational Science (ICCS 2003), MELBOURNE, AUSTRALIA, JUN 02-04, 2003. Published in: FUTURE GENERATION COMPUTER SYSTEMS-THE INTERNATIONAL JOURNAL OF GRID COMPUTING THEORY METHODS AND APPLICATIONS, 22 (3). pp. 369-380. doi:10.1016/j.future.2004.11.022 ISSN 0167-739X.
UNSPECIFIED (2001) Permutation editing and matching via embeddings. In: 28th International Colloquium on Automata, Languages and Programming (ICALP 2001), IRAKLION, GREECE, JUL 08-12, 2001. Published in: AUTOMATA LANGUAGES AND PROGRAMMING, PROCEEDING, 2076 pp. 481-492. ISBN 3-540-42287-0. ISSN 0302-9743.
UNSPECIFIED (2003) Phrase elimination in greedy parsing dictionary coders with deferred innovation. In: Data Compression Conference 2003 (DCC2003), SNOWBIRD, UT, MAR 25-27, 2003. Published in: DCC 2003: DATA COMPRESSION CONFERENCE, PROCEEDINGS p. 456. ISBN 0-7695-1896-6. ISSN 1068-0314.
UNSPECIFIED (1996) Predicting journey parameters for the intelligent control of a hybrid electric vehicle. In: 1996 IEEE International Symposium on Intelligent Control, DEARBORN, MI, SEP 15-18, 1996. Published in: PROCEEDINGS OF THE 1996 IEEE INTERNATIONAL SYMPOSIUM ON INTELLIGENT CONTROL pp. 402-407. ISBN 0-7803-2978-3.
UNSPECIFIED (1998) Program abstraction in a higher-order logic framework. In: 11th International Conference on Theorem Proving in Higher Order Logics (TPHOLs 98), CANBERRA, AUSTRALIA, SEP 27-OCT 01, 1998. Published in: THEOREM PROVING IN HIGHER ORDER LOGICS, 1479 pp. 33-48. ISBN 3-540-64987-5. ISSN 0302-9743.
UNSPECIFIED (2005) Putting detectors in their place. In: 3rd IEEE International Conference on Software Engineering and Formal Methods, Koblenz, GERMANY, SEP 07-09, 2005. Published in: SEFM 2005: THIRD IEEE INTERNATIONAL CONFERENCE ON SOFTWARE ENGINEERING AND FORMAL METHODS, PROCEEDINGS pp. 33-42. ISBN 0-7695-2435-4.
UNSPECIFIED (2003) Quantified constraints: Algorithms and complexity. In: 12th Annual Conference of the European-Association-for-Computer-Logic/8th Kurt Godel Colloquium/17th International Workshop on Computer Science Logic, VIENNA UNIV TECHNOL, VIENNA, AUSTRIA, AUG 25-30, 2003. Published in: COMPUTER SCIENCE LOGIC, PROCEEDINGS, 2803 pp. 58-70. ISBN 3-540-40801-0. ISSN 0302-9743.
UNSPECIFIED (2004) Queueing network-based optimisation techniques for workload allocation in clusters of computers. In: IEEE International Conference on Services Computing, Shanghai, PEOPLES R CHINA, SEP 15-18, 2004. Published in: 2004 IEEE INTERNATIONAL CONFERENCE ON SERVICES COMPUTING, PROCEEDINGS pp. 207-216. ISBN 0-7695-2225-4.
UNSPECIFIED (1994) RELEVANCE AND RIGOUR IN INFORMATION-SYSTEMS RESEARCH - SOME PERSONAL REFLECTIONS ON ISSUES FACING THE INFORMATION-SYSTEMS RESEARCH COMMUNITY INFORMATION SYSTEMS OPPORTUNITIES AND CHALLENGES. In: IFIP TC8 Open Conference on Business Process Re-engineering: Information Systems Opportunities and Challenges, QUEENSLAND GOLD COAST, AUSTRALIA, MAY 08-11, 1994. Published in: BUSINESS PROCESS RE-ENGINEERING, 54 pp. 93-101. ISBN 0-444-82062-0. ISSN 0926-5473.
UNSPECIFIED (2005) Race conditions in message sequence charts. In: 3rd Asian Symposium on Programming Languages and Systems, Univ Tsukuba, Tsukuba, JAPAN, NOV 02-05, 2005. Published in: PROGRAMMING LANGUAGES AND SYSTEMS, PROCEEDINGS, 3780 pp. 195-211. ISBN 3-540-29735-9. ISSN 0302-9743.
UNSPECIFIED (2005) Race-free scenarios of message sequence charts. In: 12th Asia-Pacific Software Engineering Conference, Taipei, TAIWAN, DEC 15-17, 2005. Published in: 12th Asia-Pacific Software Engineering Conference, Proceedings pp. 138-145. ISBN 0-7695-2465-6.
UNSPECIFIED (1998) Regression with input-dependent noise: A Gaussian process treatment. In: 11th Annual Conference on Neural Information Processing Systems (NIPS), DENVER, CO, DEC 01-06, 1997. Published in: ADVANCES IN NEURAL INFORMATION PROCESSING SYSTEMS 10, 10 pp. 493-499. ISBN 0-262-10076-2. ISSN 1049-5258.
UNSPECIFIED (2004) Relating data independent trace checks in CSP with UNITY reachability under a normality assumption. In: 4th International Conference on Integrated Formal Methods (IFM 2004), Canterbury, ENGLAND, APR 04-07, 2004. Published in: INTEGRATED FORMAL METHODS, PROCEEDINGS, 2999 pp. 247-266. ISBN 3-540-21377-5. ISSN 0302-9743.
UNSPECIFIED (2004) Robust modelling of local image structures and its application to medical imagery. In: 17th International Conference on Pattern Recognition (ICPR), British Machine Vis Assoc, Cambridge, ENGLAND, AUG 23-26, 2004. Published in: PROCEEDINGS OF THE 17TH INTERNATIONAL CONFERENCE ON PATTERN RECOGNITION, VOL 3 pp. 534-537. ISBN 0-7695-2128-2. ISSN 1051-4651.
UNSPECIFIED (2000) Run-time optimization using dynamic performance prediction. In: 8th International Conference on High Performance Computing and Networking, AMSTERDAM, NETHERLANDS, MAY 08-10, 2000. Published in: HIGH PERFORMANCE COMPUTING AND NETWORKING, PROCEEDINGS, 1823 pp. 280-289. ISBN 3-540-67553-1. ISSN 0302-9743.
UNSPECIFIED (1993) SELF-ORGANIZATION IN NEURAL NETWORKS SUBJECT TO RANDOM TRANSFORMATIONS. In: 1993 International Joint Conference on Neural Networks (IJCNN 93-Nagoya), NAGOYA, JAPAN, OCT 25-29, 1993. Published in: IJCNN '93-NAGOYA : PROCEEDINGS OF 1993 INTERNATIONAL JOINT CONFERENCE ON NEURAL NETWORKS, VOLS 1-3 pp. 2504-2507. ISBN 0-7803-1421-2.
UNSPECIFIED (1993) SEMANTIC INTERACTION - A CONNECTIONIST MODEL OF LEXICAL COMBINATION. In: 2nd Irish Neural Networks Conference, QUEENS UNIV BELFAST, BELFAST, NORTH IRELAND, JUN 25-26, 1992. Published in: NEURAL COMPUTING RESEARCH AND APPLICATIONS pp. 95-103. ISBN 0-7503-0259-3.
UNSPECIFIED (2001) SIMLAB - A simulation environment for storage area networks abstract. In: 9th Euromicro Workshop on Parallel and Distributed Processing, MANTOVA, ITALY, FEB 07-09, 2001. Published in: NINTH EUROMICRO WORKSHOP ON PARALLEL AND DISTRIBUTED PROCESSING, PROCEEDINGS pp. 227-234. ISBN 0-7695-0988-6.
UNSPECIFIED (1992) SOMETIMES SOME IS AS GOOD AS ALL. In: 3RD INTERNATIONAL CONF ON CONCURRENCY THEORY, STONY BROOK, NY, AUG 24-27, 1992. Published in: CONCUR 92, 630 pp. 192-206. ISBN 3-540-55822-5. ISSN 0302-9743.
UNSPECIFIED (1999) Scalable description of shape and motion for object-based coding. In: 7th IEE Conference on Image Processing and its Applications (IPA99), UNIV MANCHESTER, MANCHESTER, ENGLAND, JUL 12-15, 1999. Published in: SEVENTH INTERNATIONAL CONFERENCE ON IMAGE PROCESSING AND ITS APPLICATIONS (465). pp. 157-161. ISBN 0-85296-717-9. ISSN 0537-9989.
UNSPECIFIED (1997) Scott's conjecture is true, position sensitive weights. In: 8th International Conference on Rewriting Techniques and Applications, STIGES, SPAIN, JUN 02-05, 1997. Published in: REWRITING TECHNIQUES AND APPLICATIONS, 1232 pp. 217-227. ISBN 3-540-62950-5. ISSN 0302-9743.
UNSPECIFIED (2003) Securing all-optical networks. In: 5th International Conference on Transparent Optical Networks (ICTON 2003), WARSAW, POLAND, JUN 29-JUL 03, 2003. Published in: ICTON 2003: 5TH INTERNATIONAL CONFERENCE ON TRANSPARENT OPTICAL NETWORKS, VOL 1, PROCEEDINGS pp. 87-90. ISBN 0-7803-7816-4.
UNSPECIFIED (2004) Self-adaptive and self-optimising resource monitoring for dynamic grid environments. In: 15th International Conference on Database and Expert Systems Applications (DEXA 2004), Univ Zaragoza, Zaragoza, SPAIN, AUG 30-SEP 03, 2004. Published in: 15TH INTERNATIONAL WORKSHOP ON DATABASE AND EXPERT SYSTEMS APPLICATIONS, PROCEEDINGS pp. 689-693. ISBN 0-7695-2195-9.
UNSPECIFIED (2004) Semi-fragile watermarking scheme for authentication of JPEG images. In: International Conference on Information Technology - Coding and Computing, Las Vegas, NV, APR 05-07, 2004. Published in: ITCC 2004: INTERNATIONAL CONFERENCE ON INFORMATION TECHNOLOGY: CODING AND COMPUTING, VOL 1, PROCEEDINGS pp. 7-11. ISBN 0-7695-2108-8.
UNSPECIFIED (2004) Simulation model reuse: definitions, benefits and obstacles. In: Operational-Research-Society Simulation Workshop, Birmingham, ENGLAND, MAR, 2002. Published in: SIMULATION MODELLING PRACTICE AND THEORY, 12 (7-8). pp. 479-494. doi:10.1016/j.simpat.2003.11.006 ISSN 1569-190X.
UNSPECIFIED (2001) Simultaneous feature tracking and three-dimensional object reconstruction from an image sequence. In: International Conference on Image Processing (ICIP 2001), THESSALONIKI, GREECE, OCT 07-10, 2001. Published in: 2001 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL II, PROCEEDINGS pp. 391-394. ISBN 0-7803-6725-1.
UNSPECIFIED (2005) Snapshot verification. In: 11th International Conference on Tools and Algorithms for the Construction and Analysis of Systems, Edinburgh, SCOTLAND, APR 04-08, 2005. Published in: TOOLS AND ALGORITHMS FOR THE CONSTRUCTION AND ANALYSIS OF SYSTEMS, PROCEEDINGS, 3440 pp. 510-525. ISBN 3-540-25333-5. ISSN 0302-9743.
UNSPECIFIED (1997) Social confluence in client-server systems. In: 1996 Annual Conference of the European-Association-for-Computer-Science-Logic (CSL 96), UNIV UTRECHT, UTRECHT, NETHERLANDS, SEP 21-27, 1996. Published in: COMPUTER SCIENCE LOGIC, 1258 pp. 385-398. ISBN 3-540-63172-0. ISSN 0302-9743.
UNSPECIFIED (2003) Soft constraints: Complexity and multimorphisms. In: 9th International Conference on Principles and Practice of Constraint Programming, KINSALE, IRELAND, SEP 29-OCT 03, 2003. Published in: PRINCIPLES AND PRACTICE OF CONSTRAINT PROGRAMMING - CP 2003, PROCEEDINGS, 2833 pp. 244-258. ISBN 3-540-20202-1. ISSN 0302-9743.
UNSPECIFIED (2002) Software as an economic activity. In: International Conference on the History of Computing (ICHC 2000), PADERBORN, GERMANY, APR 05-07, 2000. Published in: HISTORY OF COMPUTING: SOFTWARE ISSUES pp. 185-202. ISBN 3-540-42664-7.
UNSPECIFIED (2003) Solving order constraints in logarithmic space. In: 20th Annual Symposium on Theoretical Aspects of Computer Science, BERLIN, GERMANY, FEB 27-MAR 01, 2003. Published in: STACS 2003, PROCEEDINGS, 2607 pp. 379-390. ISBN 3-540-00623-0. ISSN 0302-9743.
UNSPECIFIED (2003) Some considerations on higher-order Petri nets. In: Workshop on the Concurrency Specification and programming (CS&P), BERLIN, GERMANY, OCT 07-09, 2002. Published in: FUNDAMENTA INFORMATICAE, 54 (2-3). pp. 185-193. ISSN 0169-2968.
UNSPECIFIED (2006) Some discriminant-based PAC algorithms. In: 14th Annual Conference on Computational Learning Theory (COLT 2001)/5th European Conference on Computational Learning Theory (EuroCOLT 2001), AMSTERDAM, NETHERLANDS, JUL 16-19, 2001. Published in: JOURNAL OF MACHINE LEARNING RESEARCH, 7 pp. 283-306. ISSN 1532-4435.
UNSPECIFIED (2000) Sources of innovation: A study of ten successful new products MANAGEMENT IN THE 21ST CENTURY. In: IEEE International Conference on Management of Innovation and Technology (ICMIT 2000), SINGAPORE, SINGAPORE, NOV 12-15, 2000. Published in: PROCEEDINGS OF THE 2000 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2 pp. 407-411. ISBN 0-7803-6652-2.
UNSPECIFIED (2004) Specifying and verifying partial order properties using template MSCs. In: 7th International Conference on Foundations of Software Science and Computation Structures, Barcelona, SPAIN, MAR 30-APR 02, 2004. Published in: FOUNDATIONS OF SOFTWARE SCIENCE AND COMPUTATION STRUCTURES, PROCEEDINGS, 2987 pp. 195-210. ISBN 3-540-21298-1. ISSN 0302-9743.
UNSPECIFIED (2002) Statistical identification of uniformly mutated segments within repeats. In: 13th Annual Symposium on Combinatorial Pattern Matching, FUKUOKA, JAPAN, JUL 03-05, 2002. Published in: COMBINATORIAL PATTERN MATCHING, 2373 pp. 249-261. ISBN 3-540-43862-9. ISSN 0302-9743.
UNSPECIFIED (2004) Strong spatial mixing for lattice graphs with fewer colours. In: 45th Annual IEEE Symposium on Foundations of Computer Science, Rome, ITALY, OCT 17-19, 2004. Published in: 45TH ANNUAL IEEE SYMPOSIUM ON FOUNDATIONS OF COMPUTER SCIENCE, PROCEEDINGS pp. 562-571. ISBN 0-7695-2228-9. ISSN 0272-5428.
UNSPECIFIED (1999) Study of numerical representation of constant surface stress forms of tension membranes. In: 7th International Conference on Civil and Structural Engineering/5th International Conference on the Applications of Artificial Intelligence to Civil and Structural Engineering, OXFORD, ENGLAND, SEP 13-15, 1999. Published in: COMPUTING DEVELOPMENTS IN CIVIL AND STRUCTURAL ENGINEERING pp. 107-111. ISBN 0-948749-65-2.
UNSPECIFIED (2000) Supply chains for mass customisation MANAGEMENT IN THE 21ST CENTURY. In: IEEE International Conference on Management of Innovation and Technology (ICMIT 2000), SINGAPORE, SINGAPORE, NOV 12-15, 2000. Published in: PROCEEDINGS OF THE 2000 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2 pp. 777-781. ISBN 0-7803-6652-2.
UNSPECIFIED (2000) Suprathreshold stochastic resonance in a neuronal network model: A possible strategy for sensory coding. In: Workshop on Future Directions for Intelligent Systems and Information Sciences, DUNEDIN, NEW ZEALAND, NOV 22-23, 1999. Published in: FUTURE DIRECTIONS FOR INTELLIGENT SYSTEMS AND INFORMATION SCIENCES, 45 pp. 236-247. ISBN 3-7908-1276-5. ISSN 1434-9922.
UNSPECIFIED (2001) Symbolic ito calculus in AXIOM: An ongoing story. In: Workshop on Symbolic Computation in Statistics, MONTREAL, CANADA, SEP, 1997. Published in: STATISTICS AND COMPUTING, 11 (1). pp. 25-35. ISSN 0960-3174.
UNSPECIFIED (1997) Syntactic characterization in Lisp of the polynomial complexity classes and hierarchy. In: 3rd Italian Conference on Algorithms and Complexity (CIAC 97), UNIV ROME LA SAPIENZA, ROME, ITALY, MAR 12-14, 1997. Published in: ALGORITHMS AND COMPLEXITY, 1203 pp. 61-73. ISBN 3-540-62592-5. ISSN 0302-9743.
UNSPECIFIED (1991) A TEMPORAL APPROACH TO REQUIREMENTS SPECIFICATION OF REAL-TIME SYSTEMS. In: 2ND INTERNATIONAL SYMP ON FORMAL TECHNIQUES IN REAL-TIME AND FAULT-TOLERANT SYSTEMS, UNIV NIJMEGEN, NIJMEGEN, NETHERLANDS, JAN 08-10, 1992. Published in: FORMAL TECHNIQUES IN REAL-TIME AND FAULT-TOLERANT SYSTEMS, 571 pp. 341-361. ISBN 3-540-55092-5. ISSN 0302-9743.
UNSPECIFIED (1989) TIME AND REAL-TIME IN PROGRAMS. In: 9TH ANNUAL CONF ON FOUNDATIONS OF SOFTWARE TECHNOLOGY AND THEORETICAL COMPUTER SCIENCE, BANGALORE, INDIA, DEC 19-21, 1989. Published in: FOUNDATIONS OF SOFTWARE TECHNOLOGY AND THEORETICAL COMPUTER SCIENCE ////, 405 pp. 312-324. ISBN 3-540-52048-1.
UNSPECIFIED (2003) Tensor splats: Visualising tensor fields by texture mapped volume rendering. In: 6th International Conference on Medical Imaging Computing and Computer-Assisted Intervention, MONTREAL, CANADA, NOV 15-18, 2003. Published in: MEDICAL IMAGE COMPUTING AND COMPUTER-ASSISTED INTERVENTION - MICCAI 2003, PT 2, 2879 pp. 294-302. ISBN 3-540-20464-4. ISSN 0302-9743.
UNSPECIFIED (1999) Three dimensional wavelet transform video compression. In: 6th International Conference on Multimedia Computing and Systems (IEEE ICMCS 99), FLORENCE, ITALY, JUN 07-11, 1999. Published in: IEEE INTERNATIONAL CONFERENCE ON MULTIMEDIA COMPUTING AND SYSTEMS, PROCEEDINGS VOL 2 pp. 924-928. ISBN 0-7695-0253-9.
UNSPECIFIED (1999) Tiling multi-dimensional arrays. In: 12th International Symposium on Fundamental of Computation Theory, IASI, ROMANIA, AUG 30-SEP 03, 1999. Published in: FUNDAMENTALS OF COMPUTATION THEORY, 1684 pp. 500-511. ISBN 3-540-66412-2. ISSN 0302-9743.
UNSPECIFIED (1997) Towards a sociological model of organisational memory INFORMATION SYSTEMS - COLLABORATION SYSTEMS AND TECHNOLOGY. In: 30th Hawaii International Conference on System Sciences (HICSS 30), WAILEA, HI, JAN 07-10, 1997. Published in: THIRTIETH HAWAII INTERNATIONAL CONFERENCE ON SYSTEM SCIENCES, VOL 2 pp. 252-261. ISBN 0-8186-7743-0. ISSN 1060-3425.
UNSPECIFIED (2002) Towards requirements analysis for autonomous agent behaviour. In: 2nd International Workshop of Central and Eastern Europe on Multi-Agent Systems (CEEMAS 2001), KRAKOW, POLAND, SEP 26-29, 2001. Published in: FROM THEORY TO PRACTICE IN MULTI-AGENT SYSTEMS, 2296 pp. 179-186. ISBN 3-540-43370-8. ISSN 0302-9743.
UNSPECIFIED (2001) Transitory behavior of uncertain systems A VOLUME IN HONOR OF DIEDERICH HINRICHSEN. In: Workshop on Advances in Mathematical Systems Theory, BORKUM, GERMANY, APR 20-23, 1999. Published in: ADVANCES IN MATHEMATICAL SYSTEMS THEORY pp. 1-17. ISBN 0-8176-4162-9.
UNSPECIFIED (2000) Tripods do not pack densely. In: 6th Annual International Computing and Combinatorics Conference (COCOON 2000), SYDNEY, AUSTRALIA, JUL 26-28, 2000. Published in: COMPUTING AND COMBINATORICS, PROCEEDINGS, 1858 pp. 272-280. ISBN 3-540-67787-9. ISSN 0302-9743.
UNSPECIFIED (1997) Two-sorted metric temporal logics. In: 4th Biennial Conference on Algebraic Methodology and Software Technology (AMAST 95), MONTREAL, CANADA, JUL 03-07, 1995. Published in: THEORETICAL COMPUTER SCIENCE, 183 (2). pp. 187-214. ISSN 0304-3975.
UNSPECIFIED (1992) USING STATISTICS FOR DESK RESEARCH. In: 4TH DAWSONS RESEARCH SEMINAR : THE SUPPLY OF AND DEMAND FOR EC ( EUROPEAN COMMUNITIES ) BUSINESS INFORMATION IN THE UNITED-KINGDOM, LOUGHBOROUGH UNIV TECHNOL, LOUGHBOROUGH, ENGLAND, SEP 18, 1991. Published in: ASLIB PROCEEDINGS, 44 (5). pp. 227-233. ISSN 0001-253X.
UNSPECIFIED (2003) Unit checking: Symbolic model checking for a unit of code ESSAYS DEDICATED TO ZHOAR MANNA ON THE OCCASION OF HIS 64TH BIRTHDAY. In: International Symposium on Verification - Theory and Practice in honor of the 64th Birthday of Zohar Manna, Taormina, Italy, JUN 29-JUL 04, 2003. Published in: VERIFICATION: THEORY AND PRACTICE, 2772 pp. 548-567. ISBN 3-540-21002-4. ISSN 0302-9743.
UNSPECIFIED (2005) Unsteady turbulent flow with sudden pressure gradient changes. In: 8th ICFD Conference on Numerical Methods for Fluid Dynamics, Oxford Univ Comp Lab, Oxford, ENGLAND, 2004. Published in: INTERNATIONAL JOURNAL FOR NUMERICAL METHODS IN FLUIDS, 47 (8-9). pp. 925-930. doi:10.1002/fld.917 ISSN 0271-2091.
UNSPECIFIED (2003) Unsupervised texture segmentation using multiresolution hybrid genetic algorithm. In: IEEE International Conference on Image Processing, BARCELONA, SPAIN, SEP 14-17, 2003. Published in: 2003 INTERNATIONAL CONFERENCE ON IMAGE PROCESSING, VOL 2, PROCEEDINGS pp. 1033-1036. ISBN 0-7803-7750-8.
UNSPECIFIED (2000) Use of performance technology for the management of distributed systems. In: 6th International Euro-Par 2000 Conference, MUNICH, GERMANY, AUG 29-SEP 01, 2000. Published in: EURO-PAR 2000 PARALLEL PROCESSING, PROCEEDINGS, 1900 pp. 149-159. ISBN 3-540-67956-1. ISSN 0302-9743.
UNSPECIFIED (2004) Using a simulation model for knowledge elicitation and knowledge management. In: Operational-Research-Society Simulation Workshop, Birmingham, ENGLAND, MAR, 2002. Published in: SIMULATION MODELLING PRACTICE AND THEORY, 12 (7-8). pp. 527-540. doi:10.1016/j.simpat.2003.09.003 ISSN 1569-190X.
UNSPECIFIED (2001) Using simulation and neural networks to develop a scheduling advisor. In: Winter Simulation Conference (WSC 01), ARLINGTON, VA, 2001. Published in: WSC'01: PROCEEDINGS OF THE 2001 WINTER SIMULATION CONFERENCE, VOLS 1 AND 2 pp. 954-958. ISBN 0-7803-7307-3.
UNSPECIFIED (1995) VLSI implementation of a new bit-level pipelined architecture for 2-D allpass digital filters. In: 1995 IEEE International Symposium on Circuits and Systems (ISCAS-1995), SEATTLE, WA, APR 30-MAY 03, 1995. Published in: 1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3 pp. 724-727. ISBN 0-7803-2570-2. ISSN 0277-674X.
UNSPECIFIED (1997) Variable size block matching motion compensation for object-based video coding. In: 6th International Conference on Image Processing and its Applications, TRINITY COLL, DUBLIN, IRELAND, JUL 14-17, 1997. Published in: SIXTH INTERNATIONAL CONFERENCE ON IMAGE PROCESSING AND ITS APPLICATIONS, VOL 1 (443). pp. 56-60. ISBN 0-85296-692-X. ISSN 0537-9989.
UNSPECIFIED (2001) Victorian data processing - When software was people. In: 4th International Conference on Cognitive Technology - Instruments of Mind, UNIV WARWICK, COVENTRY, ENGLAND, AUG 06-09, 2001. Published in: COGNITIVE TECHNOLOGY: INSTRUMENTS OF MIND, PROCEEDINGS, 2117 p. 164. ISBN 3-540-42406-7. ISSN 0302-9743.
UNSPECIFIED (2004) Video modelling and segmentation using Gaussian mixture models. In: 17th International Conference on Pattern Recognition (ICPR), British Machine Vis Assoc, Cambridge, ENGLAND, AUG 23-26, 2004. Published in: PROCEEDINGS OF THE 17TH INTERNATIONAL CONFERENCE ON PATTERN RECOGNITION, VOL 3 pp. 854-857. ISBN 0-7695-2128-2. ISSN 1051-4651.
UNSPECIFIED (2000) Visualization of multi-scale data sets in a self-organized criticality sandpile model. In: 7th Annual PDC Conference on Simulation and Visualization on the Grid, STOCKHOLM, SWEDEN, DEC, 1999. Published in: SIMULATION AND VISUALIZATION ON THE GRID, PROCEEDINGS, 13 235-+. ISBN 3-540-67264-8. ISSN 1439-7358.
UNSPECIFIED (2003) Volumetric texture description and discriminant feature selection for MRI. In: 9th International Workshop on Computer Aided Systems Theory, LAS PALMAS GC, SPAIN, FEB 24-28, 2003. Published in: COMPUTER AIDED SYSTEMS THEORY - EUROCAST 2003, 2809 pp. 573-584. ISBN 3-540-20221-8. ISSN 0302-9743.
UNSPECIFIED (2003) Volumetric texture description and discriminant feature selection for MRI. In: 18th International Conference on Information Processing in Medical Imaging, ST MARTINS COLL, AMBLESIDE, ENGLAND, JUL 20-25, 2003. Published in: INFORMATION PROCESSING IN MEDICAL IMAGING, PROCEEDINGS, 2732 pp. 282-293. ISBN 3-540-40560-7. ISSN 0302-9743.
UNSPECIFIED (1994) WORKFLOW SUPPORT FOR RE-ENGINEERED CASE-MANAGEMENT IN AN ENGLISH LAW FIRM INFORMATION SYSTEMS OPPORTUNITIES AND CHALLENGES. In: IFIP TC8 Open Conference on Business Process Re-engineering: Information Systems Opportunities and Challenges, QUEENSLAND GOLD COAST, AUSTRALIA, MAY 08-11, 1994. Published in: BUSINESS PROCESS RE-ENGINEERING, 54 pp. 421-432. ISBN 0-444-82062-0. ISSN 0926-5473.
UNSPECIFIED (1999) Weak at the knees? Arthroscopic surgery simulation user requirements, capturing the psychological impact of VR innovation through risk-based design. In: International Conference on Human-Computer Interaction (INTERACT 99), EDINBURGH, SCOTLAND, AUG 30-SEP 03, 1999. Published in: HUMAN-COMPUTER INTERACTION - INTERACT '99 pp. 360-366. ISBN 0-9673355-0-7.
UNSPECIFIED (2003) The characteristics of data in data-intensive safety-related systems. In: 22nd International Conference on Computer Safety, Reliability and Security, EDINBURGH, SCOTLAND, SEP 23-26, 2003. Published in: COMPUTER SAFETY, RELIABILITY, AND SECURITY, PROCEEDINGS, 2788 pp. 396-409. ISBN 3-540-20126-2. ISSN 0302-9743.
UNSPECIFIED (2005) A comparison of sequential function chart and object-modelling PLC programming. In: American Control Conference 2005 (ACC), Portland, OR, JUN 08-10, 2005. Published in: ACC: Proceedings of the 2005 American Control Conference, Vols 1-7 pp. 2034-2039. ISBN 0-7803-9098-9. ISSN 0743-1619.
UNSPECIFIED (1999) The complexity of gene placement. In: 10th Annual ACM-SIAM Symposium on Discrete Algorithms, BALTIMORE, MD, JAN 17-19, 1999. Published in: PROCEEDINGS OF THE TENTH ANNUAL ACM-SIAM SYMPOSIUM ON DISCRETE ALGORITHMS pp. 386-395. ISBN 0-89871-434-6.
UNSPECIFIED (1995) The complexity of mean payoff games. In: 1st Annual International Computing and Combinatorics Conference (COCOON 95), XIAN, PEOPLES R CHINA, AUG 24-26, 1995. Published in: COMPUTING AND COMBINATORICS, 959 pp. 1-10. ISBN 3-540-60216-X. ISSN 0302-9743.
UNSPECIFIED (2006) A counterexample-guided refinement tool for open procedural programs. In: 13th International SPIN Workshop on Model Checking Software, Vienna, AUSTRIA, MAR 30-APR 01, 2006. Published in: MODEL CHECKING SOFTWARE, PROCEEDINGS, 3925 pp. 288-292. ISBN 3-540-33102-6. ISSN 0302-9743.
UNSPECIFIED (1998) A cross-national comparison of the adoption of BPR: An interactive perspective COLLABORATION SYSTEMS AND TECHNOLOGY TRACK. In: 31st Hawaii International Conference on System Sciences (HICSS-31), KOHALA COAST, HI, JAN 06-09, 1998. Published in: PROCEEDINGS OF THE THIRTY-FIRST HAWAII INTERNATIONAL CONFERENCE ON SYSTEM SCIENCES, VOL I pp. 434-443. ISBN 0-8186-8255-8. ISSN 1060-3425.
UNSPECIFIED (2002) A data assimilation methodology for the plume phase of a nuclear accident. In: 9th International Conference on the Modeling, Monitoring, and Management of Envionmental Problems (ENVIRSOFT 2002), BERGEN, NORWAY, MAY, 2002. Published in: DEVELOPMENT AND APPLICATION OF COMPUTER TECHNIQUES TO ENVIRONMENTAL STUDIES, 9 pp. 129-138. ISBN 1-85312-909-7. ISSN 1462-6098.
UNSPECIFIED (2005) A dependability-driven system-level design approach for embedded systems. In: Design, Automation and Test in Europe Conference and Exhibition (DATE 05), Munich, GERMANY, MAR 07-11, 2005. Published in: DESIGN, AUTOMATION AND TEST IN EUROPE CONFERENCE AND EXHIBITION, VOLS 1 AND 2, PROCEEDINGS pp. 372-377. ISBN 0-7695-2288-2. ISSN 1530-1591.
UNSPECIFIED (2000) A dynamic algorithm for maintaining graph partitions. In: 7th Scandinavian Workshop on Algorithm Theory (SWAT 2000), BERGEN, NORWAY, JUL 05-07, 2000. Published in: ALGORITHM THEORY - SWAT 2000, 1851 pp. 71-82. ISBN 3-540-67690-2. ISSN 0302-9743.
UNSPECIFIED (1998) An expert systems approach to simulating the human decision maker. In: 1998 Winter Simulation Conference on Simulation in the 21st-Century (WSC 98), WASHINGTON, D.C., DEC 13-16, 1998. Published in: 1998 WINTER SIMULATION CONFERENCE PROCEEDINGS, VOLS 1 AND 2 pp. 1541-1545. ISBN 0-7803-5133-9.
UNSPECIFIED (2003) A family of NFAs which need 2(n)-alpha deterministic states. In: 25th Conference on Mathematical Foundations of Computer Science, BRATISLAVA, SLOVAKIA, AUG 28-SEP 01, 2000. Published in: THEORETICAL COMPUTER SCIENCE, 301 (1-3). pp. 451-462. doi:10.1016/S0304-3975(02)00891-5 ISSN 0304-3975.
UNSPECIFIED (1995) A fast distributed modular algorithm for resource allocation. In: 15th International Conference on Distributed Computing Systems, VANCOUVER, CANADA, MAY 30-JUN 02, 1995. Published in: PROCEEDINGS OF THE 15TH INTERNATIONAL CONFERENCE ON DISTRIBUTED COMPUTING SYSTEMS pp. 161-168. ISBN 0-8186-7025-8. ISSN 1063-6927.
UNSPECIFIED (1998) A formal specification of dMARS AGENT THEORIES, ARCHITECTURES, AND LANGUAGES. In: 4th International Workshop on Intelligent Agents - Agent Theories, Architectures, and Languages (ATAL 97), PROVIDENCE, RHODE ISLAND, JUL 24-26, 1997. Published in: INTELLIGENT AGENTS IV, 1365 pp. 155-176. ISBN 3-540-64162-9. ISSN 0302-9743.
UNSPECIFIED (2000) A general design of mixed IIR-FIR two-channel QMF bank EMERGING TECHNOLOGIES FOR THE 21ST CENTURY. In: IEEE International Symposium on Circuits and Systems (ISCAS 2000), GENEVA, SWITZERLAND, MAY 28-31, 2000. Published in: ISCAS 2000: IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS - PROCEEDINGS, VOL I pp. 559-562. ISBN 0-7803-5482-6.
UNSPECIFIED (1998) A guided Monte Carlo search algorithm for global optimization of multidimensional functions? In: American-Association-for-the-Advancement-of-Science Annual Meeting and Science Innovation Expo (AMSIE 95), ATLANTA, GA, FEB 16-21, 1995. Published in: JOURNAL OF CHEMICAL INFORMATION AND COMPUTER SCIENCES, 38 (6). pp. 1087-1095. ISSN 0095-2338.
UNSPECIFIED (2002) An improved lower bound for crossing numbers. In: 9th International Symposium on Graph Drawing (GD 2001), VIENNA, AUSTRIA, SEP 23-26, 2001. Published in: GRAPH DRAWING, 2265 pp. 96-101. ISBN 3-540-43309-0. ISSN 0302-9743.
UNSPECIFIED (2004) A learning object approach to evidence based learning COMMUNICATIONS, INFORMATION TECHNOLOGIES AND COMPUTING. In: International Conference on Cybernetics and Information Technologies, Systems and Applications/10th International Conference on Information Systems Analysis and Synthesis, Orlando, FL, JUL 21-25, 2004. Published in: ISAS/CITSA 2004: International Conference on Cybernetics and Information Technologies, Systems and Applications and 10th International Conference on Information Systems Analysis and Synthesis, Vol 1, Proceedings pp. 192-197. ISBN 980-6560-19-1.
UNSPECIFIED (2000) A management tool for innovation using systems thinking approach MANAGEMENT IN THE 21ST CENTURY. In: IEEE International Conference on Management of Innovation and Technology (ICMIT 2000), SINGAPORE, SINGAPORE, NOV 12-15, 2000. Published in: PROCEEDINGS OF THE 2000 IEEE INTERNATIONAL CONFERENCE ON MANAGEMENT OF INNOVATION AND TECHNOLOGY, VOLS 1 AND 2 pp. 378-383. ISBN 0-7803-6652-2.
UNSPECIFIED (2005) A minimum description length principle for perception. In: Workshop on Advances in Minimum Description Length - Theory and Applications, Whistler, CANADA, DEC, 2001. Published in: Advances in Minimum Description Length Theory and Applications pp. 385-409. ISBN 0-262-07262-9.
UNSPECIFIED (1995) A modified dichromatic reflection model for an analysis of interreflection. In: International Conference on Image Processing, WASHINGTON, DC, OCT 23-26, 1995. Published in: INTERNATIONAL CONFERENCE ON IMAGE PROCESSING - PROCEEDINGS, VOLS I-III B272-B275. ISBN 0-7803-3122-2.
UNSPECIFIED (2004) A multi-exchange local search algorithm for the capacitated facility location problem - (Extended abstract). In: 10th International Integer Programming and Combinatorial Optimization Conference, New York, NY, JUN 07-11, 2004. Published in: INTEGER PROGRAMMING AND COMBINATORIAL OPTIMIZATION, PROCEEDINGS, 3064 pp. 219-233. ISBN 3-540-22113-1. ISSN 0302-9743.
UNSPECIFIED (2003) A multiscale decomposition approach to gel image interpretation. In: 13th Scandinavian Conference on Image Analysis (SCIA 2003), HALMSTAD, SWEDEN, JUN 29-JUL 02, 2003. Published in: IMAGE ANALYSIS, PROCEEDINGS, 2749 pp. 430-437. ISBN 3-540-40601-8. ISSN 0302-9743.
UNSPECIFIED (1997) A new pipelined architecture for allpass digital filters based on the 3-port adaptor. In: 1997 IEEE Workshop on Signal Processing Systems (SiPS 97) - Design and Implementation, LEICESTER, ENGLAND, NOV 03-05, 1997. Published in: SIPS 97 - 1997 IEEE WORKSHOP ON SIGNAL PROCESSING SYSTEMS: DESIGN AND IMPLEMENTATION pp. 431-437. ISBN 0-7803-3806-5.
UNSPECIFIED (2003) An object-oriented, associated systems approach to the designing of B2B XML integrated, open systems applications COMPUTER, COMMUNICATION AND CONTROL TECHNOLOGIES: I. In: International Conference on Computer, Communication and Control Technologies (CCCT 03)/9th International Conference on Information Systems Analysis and Synthesis (ISAS03), ORLANDO, FL, JUL 31-AUG 02, 2003. Published in: CCCT 2003, VOL 4, PROCEEDINGS pp. 231-236. ISBN 980-6560-05-1.
UNSPECIFIED (1999) A one pass parallel hexagonal thinning algorithm. In: 7th IEE Conference on Image Processing and its Applications (IPA99), UNIV MANCHESTER, MANCHESTER, ENGLAND, JUL 12-15, 1999. Published in: SEVENTH INTERNATIONAL CONFERENCE ON IMAGE PROCESSING AND ITS APPLICATIONS (465). pp. 841-845. ISBN 0-85296-717-9. ISSN 0537-9989.
UNSPECIFIED (2002) The optimisation of multivariate robust design criteria. In: 5th Adaptive Computing in Design and Manufacture Conference (ACDM), UNIV EXETER, EXETER, ENGLAND, APR 17, 2002. Published in: ADAPTIVE COMPUTING IN DESIGN AND MANUFACTURE V pp. 285-294. ISBN 1-85233-605-6.
UNSPECIFIED (2005) A process-based semantics for Message Sequence Charts with data. In: 16th Australian Software Engineering Conference (ASWEC 2005), Brisbane, AUSTRALIA, MAR 29-APR 01, 2005. Published in: 2005 Australian Software Engineering Conference, Proceedings pp. 130-139. ISBN 0-7695-2257-2.
UNSPECIFIED (1996) A proof system for finite trees. In: 9th International Workshop on Computer Science Logic (CSL 95) / Annual Conference of the EACSL, UNIV PADERBORN, PADERBORN, GERMANY, SEP 22-29, 1995. Published in: COMPUTER SCIENCE LOGIC, 1092 pp. 86-105. ISBN 3-540-61377-3. ISSN 0302-9743.
UNSPECIFIED (2005) A random field approach to unsupervised texture image segmentation. In: 5th IASTED International Conference on Visualization, Imaging, and Image Processing, Benidorm, SPAIN, SEP 07-09, 2005. Published in: Proceedings of the Fifth IASTED International Conference on Visualization, Imaging, and Image Processing pp. 406-411. ISBN 0-88986-528-0.
UNSPECIFIED (1997) A rigorous analysis of concurrent operations on B-trees. In: 8th International Conference on Concurrency Theory (CONCUR 97), WARSAW, POLAND, JUL 01-04, 1997. Published in: CONCUR'97 : CONCURRENCY THEORY, 1243 pp. 361-375. ISBN 3-540-63141-0. ISSN 0302-9743.
UNSPECIFIED (2000) The safety management of data-driven safety-related systems. In: 19th International Conference on the Reliability, Safety and Security of Critical Computer Application (SAFECOMP 2000), ROTTERDAM, NETHERLANDS, OCT 24-27, 2000. Published in: COMPUTER SAFETY, RELIABILITY AND SECURITY, PROCEEDINGS, 1943 pp. 86-95. ISBN 3-540-41186-0. ISSN 0302-9743.
UNSPECIFIED (1995) A scalable real-time synchronization protocol for distributed systems. In: 16th IEEE Real-Time Systems Symposium, PISA, ITALY, DEC 05-07, 1995. Published in: 16TH IEEE REAL-TIME SYSTEMS SYMPOSIUM, PROCEEDINGS pp. 18-27. ISBN 0-8186-7337-0.
UNSPECIFIED (2002) A statistical process control approach for estimating the warm-up period. In: 35th Winter Simulation Conference, SAN DIEGO, CA, DEC 08-11, 2002. Published in: PROCEEDINGS OF THE 2002 WINTER SIMULATION CONFERENCE, VOLS 1 AND 2 pp. 439-446. ISBN 0-7803-7614-5.
UNSPECIFIED (2002) The string edit distance matching problem with moves. In: 13th Annual ACM/SIAM Symposium on Discrete Algorithms, SAN FRANCISCO, CA, JAN 06-08, 2002. Published in: PROCEEDINGS OF THE THIRTEENTH ANNUAL ACM-SIAM SYMPOSIUM ON DISCRETE ALGORITHMS pp. 667-676. ISBN 0-89871-513-X.
UNSPECIFIED (2004) The traveling salesman problem with few inner points. In: 10th International Computing and Combinatories Conference (COCOON 2004), Jeju Isl, SOUTH KOREA, AUG 17-20, 2004. Published in: COMPUTING AND COMBINATORICS, PROCEEDINGS, 3106 pp. 268-277. ISBN 3-540-22856-X. ISSN 0302-9743.
UNSPECIFIED (1998) The use of ancient philosophies as global business management strategies under QFD methodology. In: 8th International Flexible Automation and Intelligent Manufacturing Conference, PORTLAND, OR, JUL 01-03, 1998. Published in: FLEXIBLE AUTOMATION AND INTELLIGENT MANUFACTURING, 1998 pp. 153-164. ISBN 1-567-00118-1.
Abulrub, Abdul-Hadi G., Yin, Yanting and Williams, M. A. (Mark A.) (2012) Acceptance and management of innovation in SMEs: immersive 3D visualisation. In: International Conference on Leadership, Technology and Innovation Management 2011, Antalya, Turkey, Oct 21-23, 2011. Published in: Procedia - Social and Behavioral Sciences, 41 pp. 304-314. doi:10.1016/j.sbspro.2012.04.035 ISSN 1877-0428.
Achlioptas, Dimitris and Coja-Oghlan, Amin (2008) Algorithmic barriers from phase transitions. In: Foundations of Computer Science, 2008. FOCS '08., Philadelphia, PA , 25-28 Oct 2008 . Published in: Symposium on Foundations of Computer Science. Annual Proceedings pp. 793-802. doi:10.1109/FOCS.2008.11 ISSN 1523-8288.
Adamaszek, Anna, Czumaj, Artur, Englert, Matthias and Räcke, Harald (2011) Almost tight bounds for reordering buffer management. In: STOC'11 Symposium on Theory of Computing Conference (Co-located with FCRC 2011), San Jose, CA, USA, 6-8 Jun 2011. Published in: STOC '11 Proceedings of the 43rd annual ACM symposium on Theory of computing pp. 607-616. doi:10.1145/1993636.1993717 ISSN 9781450306911.
Adamaszek, Anna, Czumaj, Artur and Lingas, Andrzej (2009) PTAS for k-tour cover problem on the plane for moderately large values of k. In: 20th International Symposium on Algorithms and Computations (ISAAC 2009), Honolulu, HI, December 16-18, 2009. Published in: Lecture Notes in Computer Science, 5878 pp. 994-1003. ISBN 978-3-642-10630-9. doi:10.1007/978-3-642-10631-6_100 ISSN 0302-9743.
Adewoyin, Rilwan A., Dutta, Ritabrata and He, Yulan (2022) RSTGen : imbuing fine-grained interpretable control into long-form text generators. In: NAACL 2022 : Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, Seattle, Washington, 10-15 Jul 2022. Published in: Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies pp. 1822-1835. doi:10.18653/v1/2022.naacl-main.133
Aglietti, Virginia, Damoulas, Theodoros, Alvarez, Mauricio and Gonzalez, Javier (2020) Multi-task causal learning with Gaussian processes. In: Thirty-fourth Conference on Neural Information Processing Systems, Virtual conference, 7-12 Dec 2020. Published in: Advances in Neural Information Processing Systems 33 (NeurIPS 2020), 33 pp. 6293-6304.
Aglietti, Virginia, Dhir, N., Gonzalez, J. and Damoulas, Theodoros (2021) Dynamic causal Bayesian optimisation. In: Thirty-fourth Conference on Neural Information Processing Systems (NeurIPS 2021), Virtual, 6-14 Dec 2021. Published in: Advances in Neural Information Processing Systems, 34 pp. 10549-10560.
Agrawal, Akanksha, Kanesh, Lawqueen, Lokshtanov, Daniel, Panolan, Fahad, Ramanujan, Maadapuzhi Sridharan, Saurabh, Saket and Zehavi , Meirav (2022) Deleting, eliminating and decomposing to hereditary classes are all FPT-equivalent. In: ACM-SIAM Symposium on Discrete Algorithms (SODA22), Virginia, U.S. (virtual conference), 9-12 Jan 2022. Published in: Proceedings of the 2022 Annual ACM-SIAM Symposium on Discrete Algorithms (SODA) pp. 1976-2004. ISBN 9781611977073. doi:10.1137/1.9781611977073.79
Agustika, Dyah, Ariyanti, Nur Aeni, Wardana, I Nyoman Kusuma, Iliescu, Daciana and Leeson, Mark S. (2021) Classification of chili plant origin by using multilayer perceptron neural network. In: 2021 8th International Conference on Electrical Engineering, Computer Science and Informatics (EECSI), Semarang, Indonesia , 20-21 Oct 2021 . Published in: 2021 8th International Conference on Electrical Engineering, Computer Science and Informatics (EECSI) pp. 365-369. ISBN 9786236264201. doi:10.23919/EECSI53397.2021.9624228
Ahmed, M. M. R. and Mawby, P. A. (Philip A.) (2009) Design specification of a 270 V 100 A solid-state power controller suitable for aerospace applications. In: 13th European Conference on Power Electronics and Applications (EPE 2009), Barcelona, SPAIN, September 08-10, 2009 pp. 5884-5891. ISBN 9781424444328.
Ahn, Kook-Jin, Cormode, Graham, Guha, Sudipto, McGregor, Andrew and Wirth, Anthony Ian (2015) Correlation clustering in data streams. In: International Conference on Machine Learning, Lille, France, 6-11 Jul 2015. Published in: Proceedings of the 32nd International Conference on Machine Learning, 37 pp. 2237-2246. doi:10.5555/3045118.3045356
Aho, Anne-Maria and Sinclair, Jane (2019) Co-creation workshops for work life oriented ICT education. In: LTEC 2019, Zamora, Spain, 15–18 July 2019. Published in: Learning Technology for Education Challenges : 8th International Workshop, LTEC 2019, Zamora, Spain, July 15–18, 2019, Proceedings, 1011 pp. 302-312. ISBN 9783030207977. doi:10.1007/978-3-030-20798-4_26 ISSN 1865-0929.
Akbari, Nasim, Sadr, Ali, Kazemy, Ali and Faraji Niri, Mona (2020) Exponential synchronization of a complex dynamical network with piecewise-homogeneous Markovian jump structure and coupling delay. In: 6th International Conference on Control, Instrumentation and Automation (ICCIA), Sanandaj, Iran, 30-31 Oct 2019. Published in: 2019 6th International Conference on Control, Instrumentation and Automation (ICCIA) pp. 1-6. ISBN 9781728158150. doi:10.1109/ICCIA49288.2019.9030996
Aker, Ahmet, Zubiaga, Arkaitz, Bontcheva, Kalina, Kolliakou, Anna, Procter, Rob and Liakata, Maria (2017) Stance classification in out-of-domain rumours : a case study around mental health disorders. In: Social Informatics 2017, Oxford, 13-15 Sep 2017. Published in: Social Informatics. SocInfo 2017, 10540 pp. 53-64. ISBN 9783319672564. doi:10.1007/978-3-319-67256-4_6 ISSN 0302-9743.
Al Fayez, Reem Qadan and Joy, Mike (2014) A framework for linking educational medical objects : connecting Web 2.0 and traditional education. In: 15th International Conference on Web Information System Engineering (WISE 2014), Thessaloniki, Greece, 12-14 Oct 2014. Published in: Web Information Systems Engineering – WISE 2014 : 15th International Conference, Thessaloniki, Greece, October 12-14, 2014, Proceedings, Part II, Volume 8787 pp. 156-165. ISBN 9783319117461. ISSN 0302-9743.
Al Qudah, Dana, Cristea, Alexandra I. and Shi, Lei (2013) An exploratory study to design an adaptive hypermedia system for online-advertisement. In: The 9th International Conference on Web Information Systems and Technologies, Aachen, Germany, 8-10 May 2013 pp. 1-6.
Al Qudah, Dana, Cristea, Alexandra I., Shi, Lei , Al-Sayyed, Rizik M. H. and Obeidah, Amer (2014) MyAds : a social adaptive system for online advertisement from hypotheses to implementation. In: International Conference on e-Business and e-Government (ICBG 2014), Zurich, Switzerland, 13-14 Jan 2015 pp. 1-7. (Unpublished)
Al Qudah, Dana, Cristea, Alexandra I., Shi, Lei and Alqatawna, Ja'far (2015) Designing an adaptive online advertisement system : a focus group methodology. In: The 10th International Conference on Computer Science & Education, Fitzwilliam College, Cambridge University, UK, 22-24 Jul 2015. Published in: 2015 10th International Conference on Computer Science & Education (ICCSE) ISBN 9781479965984. doi:10.1109/ICCSE.2015.7250236
Al Shami, Ahmad, Guo, Weisi and Pogrebna, Ganna (2015) Clustering big urban data sets. In: IEEE International Smart Cities Conference, Guadalajara, Mexico, 25-28 Oct 2015 (Unpublished)
Al Shami, Ahmad, Guo, Weisi and Pogrebna, Ganna (2016) Fuzzy partition technique for clustering big urban dataset. In: IEEE SAI Computing Conference, London, UK, 13-15 Jul 2016. Published in: 2016 SAI Computing Conference (SAI) pp. 1-5. ISBN 9781467384605.
Al-Bawan, Kamal, Englert, Matthias and Westermann, Matthias (2016) Comparison-based FIFO buffer management in QoS switches. In: 12th Latin American Theoretical Informatics Symposium (LATIN), 2016, Ensenada, México, 11-15 Apr 2016. Published in: Proceedings of the 12th Latin American Theoretical Informatics Symposium (LATIN), 2016, 9644 pp. 27-40. doi:10.1007/978-3-662-49529-2_3
Al-Bawan, Kamal, Englert, Matthias and Westermann, Matthias (2016) Online packet scheduling for CIOQ and buffered crossbar switches. In: 28th ACM Symposium on Parallelism in Algorithms and Architectures (SPAA), California, USA, 11-13 Jul 2016. Published in: SPAA '16 Proceedings of the 28th ACM Symposium on Parallelism in Algorithms and Architectures pp. 241-250. ISBN 9781450342100. doi:10.1145/2935764.2935792
Al-Fraihat, Dimah, Joy, Mike and Sinclair, Jane (2017) Identifying success factors for e-learning in Higher Education. In: 12th International Conference on e-Learning (ICEL 2017), Orlando, Florida, 01-02 Jun 2017. Published in: Proceedings of the 12th International Conference on e-Learning (ICEL 2017) ISBN 9781911218364 .
Al-Ghamdi, M., Chester, Adam P., He, Ligang and Jarvis, Stephen A. (2011) Dynamic resource allocation and active predictive models for enterprise applications. In: 1st International Conference on Cloud Computing and Services Science (CLOSER10), Noordwijkerhout, The Netherlands, 7-9 May 2011
Al-Ghamdi, M., Chester, Adam P., He, Ligang, Jarvis, Stephen A. and Xue , J. W. J. (2011) Dynamic active window management : a method for improving revenue generation in dynamic enterprise systems. In: Proceedings of the 11th IEEE International Conference on Scalable Computing and Communications (SCALCOM'11), Pafos, Cyprus, 31st August - 2nd September, 2011. Published in: Computer and Information Technology (CIT), 2011 IEEE 11th International Conference on p. 23. doi:10.1109/CIT.2011.91
Al-Ghamdi, M., Chester, Adam P. and Jarvis, Stephen A. (2010) Predictive and dynamic resource application for enterprise applications. In: 10th IEEE International Conference on Scalable Computing and Communications (SCALCOM10), Bradford, UK, 29 June - 1 July 2010. Published in: 2010 IEEE 10th International Conference on Computer and Information Technology (CIT) pp. 2776-2783. ISBN 9781424475476.
Al-Zaidi, Maram S., Joy, Mike and Sinclair, Jane (2013) Exploring the use of micro note-taking with social interaction features for education. In: 5th International Conference on Education and New Learning Technologies, Barcelona, Spain, 1-3 July 2013. Published in: EDULEARN13 Proceedings pp. 6098-6106. ISBN 9788461638222. ISSN 2340-1117.
Al-Zaidi, Maram S., Joy, Mike and Sinclair, Jane (2016) Micro note-taking on smartphone : the learner experience. In: Eighth International Conference on Mobile, Hybrid, and On-line Learning (eLmL 2016), Venice, Italy, 24-28 Apr 2016 pp. 1-6. ISBN 9781612084718. ISSN 2308-4367.
AlAdraj, Resala A. and Joy, Mike (2015) Effect of security and trust on email usage : case study at University of Bahrain. In: 2015 Fifth International Conference on e-Learning (eConf 2015), Bahrain, 18-20 Oct 2015. Published in: Proceedings of the 2015 Fifth International Conference on e-Learning (eConf 2015) pp. 195-200. ISBN 9781467394307.
AlAdraj, Resala A. and Joy, Mike (2013) Security and collaborative groupware tools in education : case study at the University of Bahrain. In: IeLC 2013 : Fourth International e-learning Conference, Bahrain, 7-9 May 2013
Albert, D., Nussbaumer, A., Steiner, C. M., Hendrix, Maurice and Cristea, Alexandra I. (2009) Design and development of an authoring tool for pedagogical relationship types between concepts. In: 17th International Conference on Computer in Education (ICCE 2009), Hong Kong, 30 Nov - 04 Dec 2009 pp. 194-196.
Aldawood, Mansour, Jhumka, Arshad and Fahmy, Suhaib A. (2023) Toward secure VMs allocation : analysis of VMs allocation behaviours in the cloud computing environments. In: International Conference on Cloud Computing and Services Science. CLOSER 2021, Virtual, 28-30 Apr 2021. Published in: Communications in Computer and Information Science, 1607 pp. 25-46. ISBN 9783031216367. doi:10.1007/978-3-031-21637-4_2 ISSN 1865-0929.
Alexander, Sylvia, Boyle, Tom and Joy, Mike (2001) Promoting best practice in information and computer science education through the UK national subject centre. In: World Conference on Educational Multimedia, Hypermedia and Telecommunications (EDMEDIA 2001), Tampere, Finland, 25-30 Jun 2001 pp. 25-26.
Algamdi, Abdullah M., Sanchez Silva, Victor and Li, Chang-Tsun (2020) Dronecaps : recognition of human actions in drone videos using capsule networks with binary volume comparisons. In: 27th IEEE International Conference on Image Processing, Abu Dhabi, 25-28 Oct 2020. Published in: 2020 IEEE International Conference on Image Processing (ICIP) doi:10.1109/ICIP40778.2020.9190864 ISSN 1522-4880.
Algamdi, Abdullah M., Sanchez Silva, Victor and Li, Chang-Tsun (2019) Learning temporal information from spatial information using CAPSNETS for human action recognition. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Brighton, UK, 12-17 May 2019 ISBN 9781479981311. doi:10.1109/ICASSP.2019.8683720 ISSN 2379-190X.
Algamdi, Abdullah M., Sanchez Silva, Victor and Li, Chang-Tsun (2019) Learning temporal information from spatial information using capsnets for human action recognition. In: International Conference on Acoustics, Speech and Signal Processing (ICASSP), Brighton, 12-17 May 2019. Published in: ICASSP 2019 - 2019 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) doi:10.1109/ICASSP.2019.8683720 ISSN 2379-190X.
Alghamdi, Mohammed, He, Ligang, Zhou, Yujue and Li, Junyu (2020) Developing the parallelization methods for finding the all-pairs shortest paths in distributed memory architecture. In: 38th IEEE International Performance Computing and Communications Conference (IPCCC), London, UK, 29-31 Oct 2019 ISBN 9781728110257. doi:10.1109/IPCCC47392.2019.8958713 ISSN 2374-9628.
Alharbi, Jawaher and Jhumka, Arshad (2023) To checkpoint or not to checkpoint : that is the question. In: International Conference on Embedded Wireless Systems and Networks (EWSN) 2023, Rende, Italy, 25-27 Sept 2023 (In Press)
Alharthi, Khalid, Jhumka, Arshad, Di, Sheng, Cappello, Franck and Chuah, Edward (2021) Sentiment analysis based error detection for large-scale systems. In: 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) (DSN'21), Taipei, Taiwan, 21-24 Jun 2021. Published in: 2021 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) ISBN 9781665411943. doi:10.1109/DSN48987.2021.00037 ISSN 1530-0889.
Alharthi, Khalid, Jhumka, Arshad, Sheng, Di and Cappello, Franck (2022) Clairvoyant : a log-based transformer-decoder for failure prediction in large-scale systems. In: ACM International Conference on Supercomputing, Virtual, 27-30 Jun 2022. Published in: ICS '22: Proceedings of the 36th ACM International Conference on Supercomputing pp. 1-14. ISBN 9781450392815. doi:10.1145/3524059.3532374
Alharthi, Khalid Ayed, Jhumka, Arshad, Di, Sheng, Gui, Lin, Cappello, Franck and McIntosh-Smith, Simon (2023) Time machine : generative real-time model for failure (and lead time) prediction in HPC systems. In: 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Network (IEEE IFIP DSN 2023), Porto, Portugal, 27-30 Jun 2023. Published in: Proceedings of the DSN 2023 pp. 508-521. ISBN 9798350347937. doi:10.1109/DSN58367.2023.00054 ISSN 2158-3927.
Alis, Christian M., Letchford, Adrian, Moat, Helen Susannah and Preis, Tobias (2015) Estimating tourism statistics with Wikipedia page views. In: WebSci '15 Web Science Conference, Oxford, 28 Jun - 1 Jul 2015. Published in: WebSci '15 Proceedings of the ACM Web Science Conference (33). pp. 1-2. ISBN 9781450336727 . doi:10.1145/2786451.2786925
Almagor, Shaull, Avni, Guy, Sinclair-Banks, Henry and Yeshurun, Asaf (2024) Dimension-minimality and primality of counter nets. In: 27th Foundations of Software Science and Computation Structures (FoSSaCS 2024), Luxembourg City, Luxembourg, 8-11 Apr 2024. Published in: Lecture Notes in Computer Science, 14575 pp. 229-249. doi:10.1007/978-3-031-57231-9_11 ISSN 0302-9743. (In Press)
Almagor, Shaull, Chistikov, Dmitry, Ouaknine, Joel and Worrell, James (2018) O-minimal invariants for linear loops. In: ICALP 2018: 45th International Colloquium on Automata, Languages, and Programming, Prague, Czech Republic, 9-13 Jul 2018. Published in: 45th International Colloquium on Automata, Languages, and Programming (ICALP 2018), 107 114:1-114:14. ISBN 9783959770767. doi:10.4230/LIPIcs.ICALP.2018.114 ISSN 1868-8969.
Almowallad, Abeer and Sanchez Silva, Victor (2020) Human motion distribution learning from face images using CNN and LBC features. In: The 8th International Workshop on Biometrics and Forensics (IWBF-2020), Porto, Portugal, 29-30 Apr 2020. Published in: 2020 8th International Workshop on Biometrics and Forensics (IWBF) ISBN 9781728162331. doi:10.1109/IWBF49977.2020.9107940
Almuqren, Latifah and Cristea, Alexandra I. (2016) Framework for sentiment analysis of Arabic text. In: ACM Hypertext 2016 Core A , Halifax, Canada, 10-13 Jul 2016. Published in: HT '16: Proceedings of the 27th ACM Conference on Hypertext and Social Media pp. 315-317. ISBN 9781450342476. doi:10.1145/2914586.2914610
Alrashidi, Huda and Joy, Mike (2018) Automatic reflective writing analysis based on semantic concepts. In: 11th IADIS International Conference on Information Systems 2018, Lisbon, Portugal , 14-16 Apr 2018. Published in: Proceedings of the International Association for Development of the Information Society (IADIS) International Conference on eSociety pp. 383-385. ISBN 9789898533753.
Alrashidi, Huda and Joy, Mike (2018) Automatic reflective writing analysis based on semantic concepts. In: 16th International conference e-Society 2018, Lisbon, Portugal , 14 -16 Apr 2018 . Published in: e-Society conference 2018 ISBN 9789898533753.
Alstrup, Stephen, Georgakopoulos, Agelos, Rotenberg, Eva and Thomassen, Carsten (2018) A Hamiltonian cycle in the square of a 2-connected graph in linear time. In: Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms, New Orleans, USA, 7-10 Jan 2018 pp. 1645-1649. doi:10.1137/1.9781611975031.107
Amir, Shirian, Somandepalli, Krishna, Sanchez Silva, Victor and Guha, Tanaya (2022) Visually-aware acoustic event detection using heterogeneous graphs. In: 23rd INTERSPEECH Conference, Incheon, Korea, 18-22 Sep 2022. Published in: INTERSPEECH proceedings pp. 2428-2432. doi:10.21437/Interspeech.2022-10670
Anand, Sarabjot Singh and Hughes, J. G. (1998) Hybrid data mining systems : the next generation. In: 2nd Pacific-Asia Conference in Knowledge Discovery and Data Mining (PAKDD-98), Melbourne, Australia, 1998. Published in: PAKDD '98 Proceedings of the Second Pacific-Asia Conference on Research and Development in Knowledge Discovery and Data Mining pp. 13-24. ISBN 3540643834. doi:10.1007/3-540-64383-4_2
Anand, Sarabjot Singh, Patterson, D. and Hughes, J. G. (1998) Knowledge intensive exception spaces. In: 15th National Conference On Artificial intelligence (AAAI-98), 1998. Published in: Proceedings of the fifteenth national conference on artificial intelligence pp. 574-579. ISBN 9781577354185.
Anand, Sarabjot Singh, Patterson, D., Hughes, J. G. and Bell, D. A. (1998) Discovering case knowledge using data mining. In: 2nd Pacific-Asia Conference on Knowledge Discovery and Data Mining (PAKDD-98), Melbourne, Australia, 15-17 Apr 1998. Published in: Proceeding PAKDD '98 Proceedings of the Second Pacific-Asia Conference on Research and Development in Knowledge Discovery and Data Mining pp. 25-35. ISBN 3540643834. doi:10.1007/3-540-64383-4_3
Andrianakis, Ioannis, Dillon, James, Mitchell, Philippa, Mould, Richard, Glautier, Steve, O'Connor, Vincent, Holden-Dye, Lindy and James, C. J. (2008) A software tool for the analysis of C. elegans electropharyngeograms (EPG). In: Synaptic Function and Behaviour of C. Elegans Topic Meeting #2, University of Wisconsin, Madison, WI, U.S.A., July, 2008. Published in: Synaptic Function and Behaviour of C. Elegans Topic Meeting #2, Poster Abstracts p. 80.
Anhorn, Johannes, Herfort, Benjamin and Albuquerque, João Porto de (2016) Crowdsourced validation and updating of dynamic features in OpenStreetMap an analysis of shelter mapping after the 2015 Nepal earthquake. In: 13th International Conference on Information Systems for Crisis Response and Management ISCRAM 2016, Rio de Janeiro, Brazil, 22-25 May 2016. Published in: Proceedings of the ISCRAM 2016 Conference – Rio de Janeiro, Brazil, May 2016
Anthony, Njoku ThankGod, Shafik, Mahmoud, Kurugollu, Fatih and Atlam, Hany F. (2022) Anomaly detection system for Ethereum blockchain using machine learning. In: 19th International Conference on Manufacturing Research (ICMR2022), Derby, UK, 6–8 Sep 2022. Published in: Proceedings of the 19th International Conference on Manufacturing Research, 25 pp. 311-316. ISBN 9781643683300. doi:10.3233/ATDE220608 ISSN 2352751X.
Antoniadis, Antonios, Englert, Matthias, Matsakis, Nicolaos and Veselý, Pavel (2021) Breaking the barrier of 2 for the competitiveness of longest queue drop. In: 48th International Colloquium on Automata, Languages, and Programming (ICALP 2021), Virtual, 12-16 Jul 2021. Published in: 48th International Colloquium on Automata, Languages, and Programming (ICALP 2021), 198 17:1-17:20. ISBN 9783959771955. doi:10.4230/LIPIcs.ICALP.2021.17 ISSN 1868-8969.
Antory, A. (2006) Fault diagnosis application in an automotive diesel engine using auto-associative neural networks. In: International Conference on Computational Intelligence for Modelling, Control and Automation/International Conference on Intelligent Agents Web Technologies and International Commerce, Vienna, Austria, 28-30 Nov 2005. Published in: International Conference on Computational Intelligence for Modelling, Control & Automation Jointly with International Conference on Intelligent Agents, Web Technologies & Internet Commerce, Vol 2, Proceedings pp. 109-116. ISBN 0-7695-2504-0.
Antory, David, Kruger, Uwe and Littler, Tim (2006) A new principal curve algorithm for nonlinear principal component analysis. In: International Conference on Intelligent Computing (ICIC), Kunming, China, 6-19 Aug 2006. Published in: Lecture Notes in Computer Science, Volume 4113 pp. 1235-1246. ISBN 978-3-540-37271-4. doi:10.1007/11816157_155 ISSN 0302-9743.
Anwar, Saif, Griffiths, Nathan, Bhalerao, Abhir, Popham, T. J., Bell, Mark and Hellman, Shaun (2023) CHILLI : a data context-aware perturbation method for XAI. In: ICML Workshop on Artificial Intelligence and Human-Computer Interaction, Honolulu, Hawaii, USA, 29 Jul 2023. Published in: Proceedings of the 40th International Conference on Machine Learning, 202 ISSN 2640-3498. (In Press)
Apte, A., Jones, C. K. R. T. (Christopher K. R. T.), Stuart, A. M. and Voss, Jochen (2008) Data assimilation : mathematical and statistical perspectives. In: 9th ICFD Conference on Numerical Methods for Fluid Dynamics, Univ Reading, Reading, England, 26 - 29 Mar, 2007. Published in: International Journal for Numerical Methods in Fluids, Volume 56 (Number 8). pp. 1033-1046. doi:10.1002/fld.1698 ISSN 0271-2091.
Arana-Catania, M., Kochkina, Elena, Zubiaga, Arkaitz, Liakata, Maria, Procter, Rob and He, Yulan (2022) Natural language inference with self-attention for veracity assessment of pandemic claims. In: 2022 Annual Conference of the North American Chapter of the Association for Computational Linguistics, Hybrid: Seattle, USA, 10-15 Jul 2022. Published in: Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies pp. 1496-1511. doi:10.18653/v1/2022.naacl-main.107
Arana-Catania, Miguel, Procter, Rob, He, Yulan and Liakata, Maria (2021) Evaluation of abstractive summarisation models with machine translation in deliberative processes. In: Third Workshop on New Frontiers in Summarization, Online and in Dominican Republic, 10 Nov 2021. Published in: Proceedings of the Third Workshop on New Frontiers in Summarization pp. 57-64. doi:10.18653/v1/2021.newsum-1.7
Archbold, James and Griffiths, Nathan (2018) Indirect influence manipulation with partially observable networks. In: The 19th International Workshop on Multi-Agent-Based Simulation (MABS 2018), Stockholm, Sweden, 14-15 Jul 2018. Published in: Multi-Agent-Based Simulation XIX : 19th International Workshop, MABS 2018, Stockholm, Sweden, July 14, 2018, Revised Selected Papers pp. 1-12. ISBN 9783030222697. doi:10.1007/978-3-030-22270-3
Archbold, James and Griffiths, Nathan (2017) Limiting concept spread in environments with interacting concepts. In: 16th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2017), Sao Paulo, Brazil, 8–12 May 2017. Published in: Proceedings of the 16th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2017) 1332-1340 .
Ardeshir-Larijani, Ebrahim, Gay, Simon J. and Nagarajan, Rajagopal (2013) Equivalence checking of quantum protocols. In: 19th International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Rome, Italy, 16-24 March 2013. Published in: Tools and Algorithms for the Construction and Analysis of Systems, Proceedings, Volume 7795 pp. 478-492. ISBN 9783642367410. doi:10.1007/978-3-642-36742-7_33 ISSN 0302-9743.
Ardeshir-Larijani, Ebrahim and Sarbazi-Azad, H. (2007) Parallel hermite interpolation on the pyramid. In: 22nd International Symposium on Computer and Information Sciences (ISCIS 2007), Ankara, Turkey, 7-9 Nov 2007. Published in: 22nd international symposium on Computer and Information Sciences, 2007. iscis 2007. pp. 1-5. ISBN 9781424413638.
Arif, M. and Rajpoot, Nasir M. (Nasir Mahmood) (2007) Classification of potential nuclei in histology images using shape manifold learning. In: International Conference on Machine Vision (ICMV 2007), Islamabad, Pakistan, 28-29 Dec 2007. Published in: International Conference on Machine Vision, 2007. ICMV 2007. pp. 113-118. ISBN 9781424416240.
Arnab, Sylvester and Raja, Vinesh (2008) Simulating a deformable object using a surface mass spring system. In: 3rd International Conference on Geometric Modeling and Imaging (GMAI 08), London S Bank Univ, London, England, Jul 09-11, 2008. Published in: Geometric Modeling & Imaging; Modern Techniques and Applications; Proceedings: Conference on Geometric Modeling and Imaging pp. 21-26. ISBN 978-0-7695-3270-7. doi:10.1109/GMAI.2008.24
Arnab, Sylvester and Raja, Vinesh (2008) A deformable surface model with volume preserving springs. In: 5th International Conference on Articulated Motion and Deformable Objects, Port d Andratx, Spain, Jul 09-11, 2008. Published in: Articulated motion and deformable objects : 5th international conference, AMDO 2008, Port d' Andratx, Mallorca, Spain, July 9-11, 2008 : proceedings, Vol.5098 pp. 259-268. ISBN 978-3-540-70516-1. doi:10.1007/978-3-540-70517-8_25 ISSN 0302-9743.
Arnold, Eduardo, Al-Jarrah, Omar Y., Dianati, Mehrdad, Fallah, Saber, Oxtoby, David and Mouzakitis, Alexandros (2019) Cooperative object classification for driving applications. In: IEEE Symposium on Intelligent Vehicle, Paris, France, 9-12 Jun 2019. Published in: 2019 IEEE Intelligent Vehicles Symposium (IV) pp. 2484-2489. doi:10.1109/IVS.2019.8813811 ISSN 2642-7214.
Aroyo, Lora, Cristea, Alexandra I. and Dicheva, Darina (2002) A layered approach towards domain authoring support. In: International Conference on Artificial Intelligence (ICAI 2002), Las Vegas, US, 24 - 27 Jun 2002
Asadipour, Ali, Debattista, Kurt and Chalmers, Alan (2015) A game-based training approach to enhance human hand motor learning and control abilities. In: 7th International Conference on Games and Virtual Worlds for Serious Applications (VS-Games 2015), Skövde, Sweden, 16-18 Sep 2015. Published in: 2015 7th International Conference on Games and Virtual Worlds for Serious Applications (VS-Games) pp. 1-6. doi:10.1109/VS-GAMES.2015.7295759
Assad, Fadi, Konstantinov, Sergey, Nureldin, H., Waseem, M, Rushforth, Emma, Ahmad, Bilal and Harrison, Robert (2021) Maintenance and digital health control in smart manufacturing based on condition monitoring. In: 8th CIRP Conference on Assembly Technologies and Systems, Virtual conference, 29 Sep - 01 Oct 2020. Published in: Procedia CIRP, 97 pp. 142-147. doi:10.1016/j.procir.2020.05.216 ISSN 2212-8271.
Assad, Fadi, Konstantinov, Sergey, Rushforth, Emma, Vera, Daniel A. and Harrison, Robert (2021) Virtual engineering in the support of sustainable assembly systems. In: 8th CIRP Conference on Assembly Technologies and Systems, Virtual conference, 29 Sep -01 Oct 2020. Published in: Procedia CIRP, 97 pp. 367-372. doi:10.1016/j.procir.2020.05.252 ISSN 2212-8271.
Atkinson, Malcolm, Esnouf, Robert, Marmier, Arnaud, Meredith, David, Osmond, Michele, Peters, Simon, Allan, Rob, Stevens, Robert, Trefethen, Anne, Ure, Jenny, Zasada, Stephan and Youn, Choohan (2006) Report of the user requirements and web based access for eResearch workshops. In: User Requirements and Web based Access for eResearch, Edinburgh, UK, 19 May 2006 pp. 1-25.
Atmaca, U. I., Maple, Carsten and Dianati, Mehrdad (2019) Emerging privacy challenges and approaches in CAV systems. In: Living in the Internet of Things (IoT 2019), London, UK, 1-2 May 2019 ISBN 9781839530890. doi:10.1049/cp.2019.0141
Aulí-Llinàs, Francesc, Enfedaque, Pablo , Moure, Juan , Blanes, C. Ian and Sanchez Silva, Victor (2015) Strategy of microscopic parallelism for Bitplane Image Coding. In: 2015 Data Compression Conference (DCC), Snowbird, UT, 7-9 Apr 2015. Published in: Proceedings of 2015 Data Compression Conference pp. 163-172. doi:10.1109/DCC.2015.19 ISSN 1068-0314.
Awan, A. Majid, Rajpoot, Nasir M. (Nasir Mahmood) and Husain, S. Afaq (2003) Stack-run adaptive wavelet image compression. In: IEEE Data Compression Conference (2003), Utah, US, 25-27 Mar 2003. Published in: DCC 2003 : proceedings : Data Compression Conference : March 25-27, 2003, Snowbird, Utah p. 414. ISBN 0769518966. ISSN 1068-0314.
Awan, M. S. K. and Jarvis, Stephen A. (2010) Understanding resource provisioning for ClimatePredication.net. In: 10th IEEE International Conference on Scalable Computing and Communications (SCALCOM10), Bradford, UK, 29 June - 1 July 2010. Published in: 2010 IEEE 10th International Conference on Computer and Information Technology (CIT) 2532-2539 . ISBN 9781424475476.
Awazu, Y. and Newell, Susan (2010) The mangle of practice in an ERP implementation: temporal emergence and material knowing. In: 18th European Conference on Information Systems, Pretoria, South America, 6-9th of Jun, 2010. Published in: Proceedings of the 18th European Conference on Information Systems
Azar, Yossi, Englert, Matthias, Gamzu, Iftah and Kidron, Eytan (2014) Generalized reordering buffer management. In: STACS ’14: 31st International Symposium on Theoretical Aspects of Computer Science, Lyon, France, 5-8 Mar 2014. Published in: 31st International Symposium on Theoretical Aspects of Computer Science (STACS ’14) pp. 87-98. doi:10.4230/LIPIcs.STACS.2014.87
Aziz, Haris, Lachish, Oded, Paterson, Michael S. and Savani, Rahul (2009) Power indices in spanning connectivity games. In: 5th International Conference on Algorithmic Aspects in Information and Management, San Francisco, CA, June 15-17, 2009. Published in: Lecture Notes in Computer Science, Vol.5564 pp. 55-67. ISBN 978-3-642-02157-2. doi:10.1007/978-3-642-02158-9_7 ISSN 0302-9743.
Aziz, Haris and Paterson, Michael S. (2008) Classification of computationally tractable weighted voting games. In: World Congress on Engineering 2008, Imperial Coll London, London, England, Jul 02-04, 2008. Published in: World Congress on Engineering : WCE 2008 : 2-4 July, 2008, Imperial College London, London, U.K., Vol.1-2 pp. 129-134. ISBN 978-988-98671-9-5. ISSN 2078-0966.
Aziz, Haris, Paterson, Michael S. and Leech, Dennis (2007) Efficient algorithm for designing weighted voting games. In: 11th IEEE International Multitopic Conference, Lahore, Pakistan, 28-30 Dec 2007. Published in: IEEE International Multitopic Conference, 2007. INMIC 2007. pp. 211-216. ISBN 9781424415526. doi:10.1109/INMIC.2007.4557718
Bacigalupo, David A., Jarvis, Stephen A., He, Ligang and Nudd, G. R. (2004) An investigation into the application of different performance techniques to e-commerce applications. In: Workshop on Performance Modelling, Evaluation and Optimization of Parallel and Distributed Systems (PMEO'04), Santa Fe, New Mexico, USA, 26-30 Apr 2004
Bacigalupo, David A., Jarvis, Stephen A., He, Ligang, Spooner, Daniel P. and Nudd, G. R. (2005) Comparing layered queuing and historical performance models of a distributed enterprise application. In: Proceedings of the International Conference on Parallel and Distributed Computing and Network (PDCN'05), Innsbruck, Austria, 15-17 Feb 2005
Bacigalupo, David A., Jarvis, Stephen A., He, Ligang, Spooner, Daniel P. and Nudd, G. R. (2006) A comparative evaluation of two techniques for predicting the performance of dynamic enterprise systems. In: Parallel Computing (ParCo) 2005, Malaga, Spain, 13-16 Sep 2005. Published in: Parallel Computing : Current & Future Issues of High-End Computing pp. 163-170. ISBN 3000173528.
Bacigalupo, David A., Turner, James D., Jarvis, Stephen A., Dillenberger, D. N. and Nudd, G. R. (2003) A dynamic predictive framework for e-business workload management. In: 7th World Multiconference on Systemics, Cybernetics and Informatics, Orlando, FL, 27-30 Jul 2003. Published in: Proceedings of the 7th World Multiconference on Systemics, Cybernetics and Informatics (SCI 2003) pp. 390-395. ISBN 9806560019.
Bacigalupo, David A., Turner, James D., Jarvis, Stephen A. and Nudd, G. R. (2003) Modelling dynamic e-business applications using historical performance data. In: Proceedings of the 19th Annual UK Performance Engineering Workshop (UKPEW'2003), University of Warwick, Coventry, UK, 9-10 Jul 2003 pp. 352-362.
Bacigalupo, David A., Xue, J. W. J., Hammond, Simon D., Jarvis, Stephen A., Dillenberger, D. N. and Nudd, G. R. (2007) Predicting the effect on performance of container-managed persistence in a distributed enterprise application. In: 6th International Workshop on Performance Modelling, Evaluation and Optimization of Parallel and Distributed Systems (PMEO'07), Long Beach, California, USA, 26-30 Mar 2007. Published in: IEEE International Parallel and Distributed Processing Symposium, 2007. IPDPS 2007. pp. 1-8. ISBN 1424409101. doi:10.1109/IPDPS.2007.370583
Bacigalupo, David A., van Hemert, J., Usmani, A., Dillenberger, D. N., Wills, G. B. and Jarvis, Stephen A. (2010) Resource management of enterprise cloud systems using layered queuing and historical performance models. In: 9th International Workshop on Performance Modelling, Evaluation and Optimisation of Ubiquitous Computing and Network Systems, Atlanta, USA, April 19-23 2010. Published in: 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW) pp. 1-8. ISBN 9781424465330 . doi:10.1109/IPDPSW.2010.5470782
Bailey, Toby and Gale, Michael B. (2021) Chesskell : a two- player game at the type level. In: 14th ACM SIGPLAN International Haskell Symposium, Virtual conference, 26-27 Aug 2021. Published in: Haskell 2021: Proceedings of the 14th ACM SIGPLAN International Symposium on Haskell pp. 110-121. doi:10.1145/3471874.3472987
Baksi, Anubhab, Bhasin, Shivam, Breier, Jakub, Khairallah, Mustafa, Peyrin, Thomas, Sarkar, Sumanta and Sim, Siang Meng (2021) DEFAULT : cipher level resistance against differential fault attack. In: Asiacrypt 2021 : the 27th Annual International Conference on the Theory and Application of Cryptology and Information Security, Virtual, 6-10 Dec 2021. Published in: Lecture Notes in Computer Science, 13091 doi:10.1007/978-3-030-92075-3_5 ISSN 0302-9743.
Balogh, G. D., Mudalige, Gihan R., Reguly, I. Z. , Antao, S. F. and Bertolli, C. (2019) OP2-Clang : a source-to-source translator using Clang/LLVM LibTooling. In: The Fifth Workshop on the LLVM Compiler Infrastructure in HPC Workshop, The International Conference for High Performance Computing, Networking, Storage and Analysis, November 2018, Dallas, Texas, USA , 12 Nov 2018. Published in: 2018 IEEE/ACM 5th Workshop on the LLVM Compiler Infrastructure in HPC (LLVM-HPC) ISBN 9781728101880. doi:10.1109/LLVM-HPC.2018.8639205
Balogh, G. D., Reguly, Istvan Z. and Mudalige, Gihan R. (2017) Comparison of parallelisation approaches, languages, and compilers for unstructured mesh algorithms on GPUs. In: 8th IEEE International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems (PMBS17), Denver CO. US, 13 Nov 2017. Published in: Lecture Notes in Computer Science, 10724 (10724). pp. 22-43. ISBN 9783319729701. doi:10.1007/978-3-319-72971-8_2 ISSN 0302-9743.
Bang-Jensen, Jørgen, Basavaraju, Manu, Vitting Klinkby, Kristine, Misra, Pranabendu, Ramanujan, Maadapuzhi Sridharan, Saurabh, Saket and Zehvi, Meirav (2018) Parameterized algorithms for survivable network design with uniform demands. In: 29th Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2018, New Orleans, Louisiana, USA, 7-10 Jan 2018. Published in: Proceedings of the Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1-13. ISBN 9781611975031. doi:10.1137/1.9781611975031.180
Barnes, Kenton M. and Gale, Michael (2019) Meta-genetic programming for static quantum circuits. In: Genetic and Evolutionary Computation Conference Companion (GECCO ’19 Companion), Prague, Czech Republic, 13–17 Jul 2019. Published in: GECCO '19 Proceedings of the Genetic and Evolutionary Computation Conference Companion pp. 2016-2019. ISBN 9781450367486. doi:10.1145/3319619.3326907
Barnes, Sally-Anne (2014) Crowdsourcing : what does it mean for employability and skills? In: COST Conference on 'The Dynamics of Virtual Work : the Transformation of Labour in a Digital Global Economy', University of Hertfordshire, Hatfield, UK, 3-5 Sep 2014
Barnes, Sally-Anne (2011) Developing & integrating IT innovations in careers guidance in the UK. In: IAEVG International Career Guidance Conference on 'Career Guidance & Development Practices around the world', Cape Town, South Africa, 19-21 Oct 2011
Basik, Fuat, Ferhatosmanoglu, Hakan and Gedik, Bugra (2020) SLIM : Scalable Linkage of Mobility Data. In: ACM SIGMOD/PODS International Conference on Management of Data, Portland, OR, USA, 14- 19 Jun 2020. Published in: SIGMOD '20: Proceedings of the 2020 ACM SIGMOD International Conference on Management of Data pp. 118-1196. ISBN 9781450367356. doi:10.1145/3318464.3389761
Beckingsale, David A., Gaudin, W. P., Herdman, J. A. and Jarvis, Stephen A. (2015) Resident block-structured adaptive mesh refinement on thousands of graphics processing units. In: 44th International Conference on Parallel Processing, Beijing, China, 1-4 Sep 2015 pp. 61-70. ISBN 9781467375870. ISSN 0190-3918.
Bednarik, R., Joy, Mike, Moreno, Andrés, Myller, N., Sun, S. and Sutinen, E. (2005) Multi-agent educational system for program visualization. In: 2005 International Conference on Computational Intelligence for Modelling, Control and Automation, and International Conference on Intelligent Agents, Web Technologies and Internet Commerce (CIMCA-IAWTIC’05), Vienna, Austria, 28-30 Nov 2005. Published in: International Conference on Computational Intelligence for Modelling, Control and Automation, 2005 and International Conference on Intelligent Agents, Web Technologies and Internet Commerce , Volume 2 pp. 283-288. ISBN 0769525040.
Belkada, S., Cristea, Alexandra I. and Okamoto, Toshio (2001) Measuring knowledge transfer skills by using constrained-student modeler autonomous agent. In: 2nd IEEE International Conference on Advanced Learning Technologies (ICALT 2001), Madison, USA, 6-8 Aug 2001. Published in: IEEE International Conference on Advanced Learning Technologies, 2001. Proceedings. pp. 375-378. ISBN 0769510132.
Ben-Sasson, Eli, Chiesa, Alessandro, Goldberg, Lior, Gur, Tom, Riabzev, Michael and Spooner, Nicholas (2019) Linear-size constant-query IOPs for delegating computation. In: The Seventeenth Theory of Cryptography Conference (TCC 2019), Nuremberg, Germany, 1-5 Dec 2019. Published in: Theory of Cryptography. TCC 2019, 11892 pp. 494-521. ISBN 9783030360320. doi:10.1007/978-3-030-36033-7_19
Benedikt, Michael, Chistikov, Dmitry and Mansutti, Alessio (2023) The complexity of Presburger arithmetic with power or powers. In: 50th International Colloquium on Automata, Languages, and Programming (ICALP 2023), Paderborn, Germany, 10-14 Jul 2023. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 261 112:1-112:18. ISBN 9783959772785. doi:10.4230/LIPIcs.ICALP.2023.112 ISSN 1868-8969.
Bera, Suman, Bhattacharya, Sayan, Choudhari, Jayesh and Ghosh, Prantar (2022) A new dynamic algorithm for densest subhypergraphs. In: WWW '22: The ACM Web Conference, Online, hosted Lyon, France, 25–29 Apr 2022. Published in: WWW '22: Proceedings of the ACM Web Conference 2022 pp. 1093-1103. ISBN 9781450390965. doi:10.1145/3485447.3512158
Berenbrink, Petra, Friedetzky, Thomas and Goldberg, Leslie Ann (2001) The natural work-stealing algorithm is stable. In: 42nd Annual Symposium on Foundations of Computer Science (FOCS 2001), Las Vegas, NV, 14-17 Oct 2001. Published in: 42nd IEEE Symposium on Foundations of Computer Science, 2001. Proceedings. pp. 178-187. ISBN 0769513913. ISSN 0272-5428.
Berenbrink, Petra, Friedetzky, Thomas, Hu, Zengjian and Martin, R. (2005) On weighted balls-into-bins games. In: STACS 2005, Stuttgart, Germany, Feb 24-26, 2005. Published in: Proc. of the 22nd Symposium on Theoretical Aspects of Computer Science, Vol.3404 pp. 231-243. ISBN 3-540-24998-2. ISSN 0302-9743.
Bernardi, Roberta (2009) IT enactment of new public management in Africa: the case study of health information systems in Kenya. In: 9th European Conference on e-Government, Univ Westminister Business Sch, London, ENGLAND, June 29-30, 2009. Published in: Proceedings of the 9th European Conference on e-Government – London – 2009 pp. 129-136. ISBN 978-1-906638-33-7.
Bernardi, Roberta (2008) A multivocal and multilevel institutionalist perspective to analyze information technology-enabled change in the public service in Africa. In: International Working Conference on Information Technology in the Service Economy - Challenges and Possibilities for the 21st Century, Ryerson Univ, Toronto, Canada, Aug 10-13, 2008. Published in: IFIP- International Federation for Information Processing, Vol.267 pp. 271-280. ISBN 978-0-387-09767-1. doi:10.1007/978-0-387-09768-8_19 ISSN 1571-5736.
Bettencourt, Matthew T., Brown, Dominic and Radtke, Gregg (2017) Unstructured higher order PIC methods. In: The 25th International Conference on Numerical Simulation of Plasmas (ICNSP 2017) , Leuven, Belgium, 18-20 Sep 2017 (Unpublished)
Beynon, Meurig (2005) Computational support for realism in virtual environments. In: 11th International Conference on Human-Computer Interaction (HCI 2005), Las Vegas, USA, 22-27 Jul 2005
Beynon, Meurig (2001) Liberating the computer arts. In: 1st International Conference on Digital and Academic Liberty of Information (DALI 2001), Aizu, Japan
Beynon, Meurig (2006) Towards technology for learning in a developing world. In: IEEE 4th International Workshop on Technology for Education in Developing Countries (TEDC 2006), Iringa, Tanzania, 10 - 12 Jul 2006 pp. 88-92. ISBN 0769526330. doi:10.1109/TEDC.2006.32
Beynon, Meurig (2007) Visualisation using empirical modelling principles and tools. In: AHRC ICT Methods Network Expert Workshop, Birmingham, UK, 19 June, 2007
Beynon, Meurig, Bhalerao, Abhir, Roe, Chris P. and Ward, Ashley (2003) A computer-based environment for the study of relational query languages. In: The Teaching, Learning and Assessment in Databases Workshop, Coventry, UK, 14 Jul 2003 pp. 104-108.
Beynon, Meurig, Boyatt, Russell and Chan, Zhan En (2008) Intuition in software development revisited. In: 20th Annual Psychology of Programming Interest Group Conference, Lancaster, UK, 18-20 Sep 2008 (Unpublished)
Beynon, Meurig, Boyatt, Russell and Russ, Steve (2006) Rethinking programming. In: 3rd International Conference on Information Technology - New Generations (ITNG), Las Vegas, NV, 10-12 Apr 2006. Published in: IEEE Information Technology Conference. Proceedings pp. 149-154. ISBN 0769524974. doi:10.1109/ITNG.2006.114
Beynon, Meurig and Chan, Zhan En (2006) A conception of computing technology better suited to distributed participatory design. In: NordiCHI Workshop on Distributed Participatory Design, Oslo, Norway, 14 Oct 2006
Beynon, Meurig and Harfield, Antony (2010) Constructionism through construal by computer. In: Constructionism 2010, Paris, France, Aug 16 - 21 2010
Beynon, Meurig and Harfield, Antony (2005) Empirical modelling in support of constructionist learning : A case study from relational database theory. In: 5th IEEE International Conference on Advanced Learning Technologies, Kaohsiung, Taiwan, 05-08 Jul 2005. Published in: 5th IEEE International Conference on Advanced Learning Technologies, Proceedings pp. 396-398. ISBN 0769523382. doi:10.1109/ICALT.2005.134
Beynon, Meurig and Harfield, Antony (2006) Rethinking life-long learning : the empirical modelling approach. In: 6th IEEE International Conference on Advanced Learning Technologies (ICALT 2006), Kerkrade, The Netherlands, 5-7 Jul 2006. Published in: Sixth International Conference on Advanced Learning Technologies, 2006. pp. 854-858. ISBN 0769526322.
Beynon, Meurig, Harfield, Antony and Jormanainen, I. (2006) Varieties of concretisation : an illustrative example. In: 5th Annual Finnish/Baltic Sea Conference on Computer Science Education (Koli Calling 2005), Koli National Park, Finland, 17-20 Nov 2005. Published in: Koli Calling 2005 - Proceedings of the Fifth Koli Calling Conference on Computer Science Education pp. 153-156. ISBN 9512930064.
Beynon, Meurig and Klein, Rody R. (2006) Metis meets empirical modelling : from ancient wisdom to emerging technology. In: IST-Africa 2006, Pretoria, South Africa, 3-5 May 2006
Beynon, Meurig and Maad, Soha (1999) Empirical modelling of real life financial systems : the need for integration of enabling tools and technologies. In: The Fourth World Conference on Integrated Design & Process Technology, Kusadasi, Turkey, 27 Jun - 2 Jul 1999
Beynon, Meurig and Maad, Soha (2000) Integrated environments for virtual collaboration : an empirical modelling perspective. In: 5th World Conference On Integrated Design & Process Technology, Texas, USA, 4-5 Jun 2000
Beynon, Meurig, Myers, Richard and Harfield, Antony (2009) Web Eden : support for computing as construction? In: 9th International Conference on Computing Education Research (Koli Calling), Koli National Park, Finland, 28-31, Oct 2009. Published in: Koli Calling 2009 : 9th International Conference on Computing Education Research pp. 47-50. ISSN 1404-3203.
Beynon, Meurig, Rasmequan, Suwanna and Russ, Steve (2000) An experience-based approach to decision support systems. In: IFIP Working Group 8.3, Working Conference on Decision Support Through Knowledge Management, Stockholm, Sweden, 9-11 July 2000
Beynon, Meurig, Rasmequan, Suwanna and Russ, Steve (2000) The use of interactive situation models for the development of business solutions. In: Perspective in Business Informatics Research (BIR 2000), Rostock, Germany, 31 Mar - 1 Apr 2000
Beynon, Meurig, Ward, Ashley, Maad, Soha, Wong, Allan, Rasmequan, Suwanna and Russ, Steve (2000) The temposcope : a computer instrument for the idealist timetabler. In: 3rd international conference on the practice and Theory of Automated Timetabling, Konstanz, Germany, 16-18 Aug 2000 pp. 153-175.
Bhalerao, Abhir and Rajpoot, Nasir M. (Nasir Mahmood) (2003) Discriminant feature selection for texture classification. In: British Machine Vision Conference (BMVC 2003), Norwich, UK. Published in: Proceedings for the British Machine Vision Conference 2003 ISBN 1901725235.
Bhalerao, Abhir, Thönnes, Elke, Kendall, W. S. and Wilson, Roland (2002) A Bayesian approach to inferring vascular tree structure from 2D imagery. In: IEEE International Conference on Image Processing, Rochester, N.Y., 2002 Sep. 22-25. Published in: Proceedings of the 2002 IEEE International Conference on Image Processing pp. 937-940. ISBN 0-7803-7622-6.
Bhalerao, Abhir and Westin, Carl-Fredrik (2007) Hyperspherical von mises-fisher mixture (HvMF) modelling of high angular resolution diffusion MRI. In: 10th International Conference on Medical Image Computing and Computer-Assisted Intervention (MICCAI 2007), Brisbane, Australia, 29 Oct 2 Nov 2007. Published in: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2007, Pt 1, Proceedings, Volume 4791 pp. 236-243. ISBN 9783540757566. ISSN 0302-9743.
Bhambay, Sanidhay and Mukhopadhyay, Arpan (2022) Optimal load balancing in heterogeneous server systems. In: 2022 20th International Symposium on Modeling and Optimization in Mobile, Ad hoc, and Wireless Networks (WiOpt), Torino, Italy, 19-23 Sep 2022. Published in: 2022 20th International Symposium on Modeling and Optimization in Mobile, Ad hoc, and Wireless Networks (WiOpt) ISBN 9783903176492. doi:10.23919/WiOpt56218.2022.9930523
Bhambay, Sanidhay, Mukhopadhyay, Arpan and Vasantam, Thirupathaiah (2023) The power of two choices with load comparison errors. In: 24th ACM International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing (ACM Mobihoc), Washington DC, USA, 23-26 Oct 2023 (In Press)
Bhattacharya, Sayan, Buchbinder, Niv, Levin, Roie and Saranurak, Thatchaphol (2023) Chasing positive bodies. In: 64th IEEE Symposium on Foundations of Computer Science (FOCS) 2023, Santa Cruz, CA, USA, 6-9 Nov 2023 (In Press)
Bhattacharya, Sayan, Chakrabarty, Deeparnab, Henzinger, Monika and Nanongkai, Danupon (2018) Dynamic algorithms for graph coloring. In: Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms, New Orleans, USA, 7-10 Jan 2018. Published in: Proceedings of the Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1-20. ISBN 9781611975031. doi:10.1137/1.9781611975031.1
Bhattacharya, Sayan, Dvorák, Wolfgang, Henzinger, Monika and Starnberger, Martin (2015) Welfare maximization with friends-of-friends network externalities. In: 32nd International Symposium on Theoretical Aspects of Computer Science (STACS 2015), München, Germany , 4-7 Mar 2015. Published in: 32nd International Symposium on Theoretical Aspects of Computer Science (STACS 2015), 30 pp. 90-102. ISBN 9783939897781.
Bhattacharya, Sayan, Henzinger, Monika and Nanongkai, Danupon (2017) Fully dynamic approximate maximum matching and minimum vertex cover in O(log3 n) worst case update time. In: Twenty-Eighth Annual ACM-SIAM Symposium on Discrete Algorithms, Barcelona, Spain, 16-19 Jan 2017. Published in: Proceedings of the Twenty-Eighth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 470-489. ISBN 9781611974782. doi:10.1137/1.9781611974782.30
Bhattacharya, Sayan, Henzinger, Monika and Nanongkai, Danupon (2016) New deterministic approximation algorithms for fully dynamic matching. In: 48th Annual ACM Symposium on Theory of Computing, Cambridge, MA, USA, 19-21 Jun 2016 . Published in: Proceedings of the forty-eighth annual ACM symposium on Theory of Computing pp. 398-411. ISBN 9781450341325. doi:10.1145/2897518.2897568
Bhattacharya, Sayan, Henzinger, Monika and Nanongkai, Danupon (2020) A new deterministic algorithm for dynamic set cover. In: FOCS 2019 60th Annual IEEE Symposium on Foundations of Computer Science, Baltimore, Maryland, 9-12 Nov 2019. Published in: 2019 IEEE 60th Annual Symposium on Foundations of Computer Science (FOCS) ISBN 9781728149530. doi:10.1109/FOCS.2019.00033 ISSN 2575-8454.
Bhattacharya, Sayan, Henzinger, Monika, Nanongkai, Danupon and Tsourakakis, Charalampos (2015) Space- and time-efficient algorithm for maintaining dense subgraphs on one-pass dynamic streams. In: Forty-seventh annual ACM symposium on Theory of computing, Portland, Oregon, USA, 14-17 Jun 2015 . Published in: Proceedings of the forty-seventh annual ACM symposium on Theory of computing pp. 173-182. ISBN 9781450335362. doi:10.1145/2746539.2746592
Bhattacharya, Sayan, Kiss, Peter and Saranurak, Thatchaphol (2023) Dynamic (1+\epsilon) : approximate matching size in truly sublinear update time. In: 64th IEEE Symposium on Foundations of Computer Science (FOCS), Santa Cruz, USA, 06-09 Nov 2023. Published in: Annual Symposium on Foundations of Computer Science (In Press)
Bhattacharya, Sayan and Kulkarni, Janardhan (2020) An improved algorithm for incremental cycle detection and topological ordering in sparse graphs. In: 31st Annual ACM-SIAM Symposium on Discrete Algorithms (SODA), Salt Lake City, Utah, U.S., 5-8 Jan 2020. Published in: Proceedings of the ACM-SIAM Symposium on Discrete Algorithms (SODA) 2509-2521 . ISBN 9781611975994. doi:10.1137/1.9781611975994.153
Bhattacharya, Sayan, Saranurak, Thatchaphol and Sukprasert, Pattara (2022) Simple dynamic spanners with near-optimal recourse against an adaptive adversary. In: 30th Annual European Symposium on Algorithms (ESA 2022), Germany, 5-9 Sep 2022. Published in: Leibniz International Proceedings in Informatics (LIPIcs) series, 244 17:1-17:19. ISBN 9783959772471. doi:10.4230/LIPIcs.ESA.2022.17 ISSN 1868-8969.
Bhattachrya, Anup, Bishnu, Arijit, Ghosh, Arijit and Mishra, Gopinath (2022) Faster counting and sampling algorithms using colorful decision oracle. In: The 39th International Symposium on Theoretical Aspects of Computer Science (STACS 2022) , Marseille, France ; Online, 15-18 Mar 2022. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 219 ISBN 9783959772228. doi:10.4230/LIPIcs.STACS.2022.10 ISSN 1868-8969.
Bishnu, Arijit, Ghosh, Arijit, Mishra, Gopinath and Paraashar, Manaswi (2022) Counting and sampling from substructures using linear algebraic queries. In: 42nd IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science, Chennai, India, 18–20 Dec 2022. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 250 (8). ISBN 9783959772617. doi:10.4230/LIPIcs.FSTTCS.2022.8 ISSN 1868-8969.
Bishop, Nicholas, Chan, Hau, Mandal, Debmalya and Tran-Thanh, Long (2020) Adversarial blocking bandits. In: 34th Conference on Neural Information Processing Systems (NeurIPS 2020), Virtual, 7-12 Oct 2020. Published in: Advances in Neural Information Processing Systems, 33
Bishop, Nicholas, Chan, Hau, Mandal, Debmalya and Tran-Thanh, Long (2022) Sequential blocked matching. In: Thirty-Sixth AAAI Conference on Artificial Intelligence (AAAI-22), Virtual conference, 22 Feb- 01 Mar 2022. Published in: Proceedings of the AAAI Conference on Artificial Intelligence, 36 (5). pp. 4834-4842. doi:10.1609/aaai.v36i5.20411
Bishop, Nicholas, Dinh, Le Cong and Tran-Thanh, Long (2021) How to guide a non-cooperative learner to cooperate : exploiting no-regret algorithms in system design. In: AAMAS '21: Proceedings of the 20th International Conference on Autonomous Agents and MultiAgent Systems, Virtual, 3-7 May 2021. Published in: 20th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2021) pp. 1464-1466. ISBN 9781450383073. doi:10.5555/3463952.3464126 ISSN 2523-5699.
Bishop, Nicholas, Tran-Thanh, Long and Gerding, Enrico (2020) Optimal learning from verified training data. In: 34th Conference on Neural Information Processing Systems (NeurIPS 2020), Virtual, 7-12 Oct 2020. Published in: Advances in Neural Information Processing Systems, 33
Blais, Eric, Canonne, Clément L. and Gur, Tom (2017) Distribution testing lower bounds via reductions from communication complexity. In: 32nd Computational Complexity Conference (CCC 2017). Published in: 32nd Computational Complexity Conference (CCC 2017), 79 28:1-28:40. ISBN 9783959770408. doi:10.4230/LIPIcs.CCC.2017.28 ISSN 1868-8969.
Blazej, Vaclav, Janoušek, Jan and Plachý, Štěpán (2023) On the smallest synchronizing terms of finite tree automata. In: 27th International Conference, CIAA 2023, Famagusta, North Cyprus, 19–22 Sep 2023. Published in: Implementation and Application of Automata. CIAA 2023, 14151 pp. 79-90. doi:10.1007/978-3-031-40247-0_5 ISSN 0302-9743.
Blazej, Vaclav, Klemz, Boris, Klesen, Felix, Sieper, Marie Diana, Wolff, Alexander and Zink, Johannes (2024) Constrained and ordered level planarity parameterized by the number of levels. In: 40th International Symposium on Computational Geometry (SoCG 2024), Athens, Greece, 11–14 Jun 2024. Published in: Leibniz International Proceedings in Informatics (LIPIcs) ISSN 1868-8969.
Blundell, Dominic, Edwards, Gavin, Foster, Philip, Lim Choi Keung, Hélène Niuklan and Sindhu, Abdullah (2005) Tracking and automating the defective component process in the automotive industry. In: 9th World Multi-Conference on Systemics, Cybernetics and Informatics, Orlando, FL, JUL 10-13, 2005. Published in: WMSCI 2005: 9th World Multi-Conference on Systemics, Cybernetics and Informatics, Vol 8 pp. 303-308. ISBN 978-980-6560-60-4.
Boden, Charlotte and Bhalerao, Abhir (2011) Surface reconstruction of rotating objects from monocular video. In: 13th International Conference, ACIVS 2011, Ghent, Belgium, 22-25 Aug 2011. Published in: Lecture Notes in Computer Science, Vol.6915 pp. 702-711. doi:10.1007/978-3-642-23687-7_63 ISSN 0302-9743.
Bojanczyk, Mikolaj, Daviaud, Laure and Krishna, Shankara Narayanan (2018) Regular and first-order list functions. In: LICS 2018 : 33rd Annual ACM/IEEE Symposium on Logic in Computer Science, Oxford, United Kingdom, 9-12 Jul 2018. Published in: Lecture Notes in Computer Science doi:10.1145/3209108.3209163 ISSN 0302-9743.
Bokma, A., Jarvis, Stephen A., Huiban, M. and Slade, A. (1995) Handling communication in concurrent KBS. In: 8th International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, Melbourne, Australia, 6-8 Jun 1995. Published in: Proceedings of the 8th international conference on Industrial and engineering applications of artificial intelligence and expert systems pp. 533-540. ISBN 2884491988.
Boonmee, P., Saunders, N. J. and Jarvis, Stephen A. (2001) Computational analysis of lateral gene transfer. In: Proceedings of Bioinformatics 2001, Skovde, Sweden, March 2001
Boschetti, Nicolò, Smethurst, Chelsea, Epiphaniou, Gregory, Maple, Carsten, Sigholm, Johan and Falco, Gregory (2023) Ground station as a service reference architectures and cyber security attack tree analysis. In: 2023 IEEE Aerospace Conference, Big Sky, MT, USA, 4-11 Mar 2023. Published in: 2023 IEEE Aerospace Conference ISBN 9781665490320. doi:10.1109/aero55745.2023.10115903
Boyatt, Russell, Harfield, Antony and Beynon, Meurig (2006) Learning about and through empirical modelling. In: 6th IEEE International Conference on Advanced Learning Technologies (ICALT 2006), Kerkrade, The Netherlands, 5-7 Jul 2006 pp. 662-666. ISBN 0769526322.
Boyatt, Russell, Joy, Mike, Rocks, Claire and Sinclair, Jane (2013) What (use) is a MOOC? In: Learning Technology for Education in Cloud (LTEC 2013), Kaohsiung, Taiwan, 9-13 Sept 2013. Published in: The 2nd international workshop on learning technology for education in cloud pp. 133-145. ISBN 9789400773073. doi:10.1007/978-94-007-7308-0_15 ISSN 2213-8684.
Boyatt, Russell and Sinclair, Jane (2008) Experiences of teaching a lightweight formal method. In: Formal Methods in Computer Science Education, Budapest, Hungary, 29 Mar-6 Apr 2008 (Unpublished)
Bradbury, Matthew S. and Jhumka, Arshad (2017) Understanding source location privacy protocols in sensor networks via perturbation of Time Series. In: IEEE International Conference on Computer Communications, Atlanta, GA, USA, 01-05 May 2017. Published in: IEEE INFOCOM 2017 - IEEE Conference on Computer Communications, 2017 pp. 1-9. ISBN 9781509053377. doi:10.1109/INFOCOM.2017.8057122 ISSN 0743-166X.
Bradbury, Matthew S., Jhumka, Arshad and Watson, Tim (2021) Trust trackers for computation offloading in edge-based IoT networks. In: INFOCOM, Virtual, 10-13 May 2021. Published in: IEEE INFOCOM 2021 - IEEE Conference on Computer Communications doi:10.1109/INFOCOM42981.2021.9488844 ISSN 0743-166X.
Bradbury, Matthew S., Leeke, Matthew and Jhumka, Arshad (2015) A dynamic fake source algorithm for source location privacy in wireless sensor networks. In: 2015 IEEE Trustcom/BigDataSE/ISPA, Helsinki, 20-22 Aug 2015. Published in: 2015 IEEE Trustcom/BigDataSE/ISPA, 1 pp. 531-538. doi:10.1109/Trustcom.2015.416
Branke, Jürgen, Greco, Salvatore, Slowinski, Roman and Zielniewicz, Piotr (2009) Interactive evolutionary multiobjective optimization using robust ordinal regression. In: 5th International Conference on Evolutionary Multi-Criterion Optimization, Univ Nantes, Fac Sci, Nantes, France, April 07-10, 2009. Published in: Lecture Notes in Computer Science, Vol.5467 pp. 554-568. ISBN 978-3-642-01019-4. doi:10.1007/978-3-642-01020-0_43 ISSN 0302-9743.
Bravo-Solorio, S., Li, Chang-Tsun and Nandi, A. K. (2012) Watermarking with lowembedding distortion and self-propagating restoration capabilities. In: 19th IEEE International Conference on Image Processing (ICIP), 2012, Orlando, Florida, USA, 30 Sep - 3 Oct 2012. Published in: Image Processing (ICIP) pp. 2197-2200. doi:10.1109/ICIP.2012.6467330
Brill, Markus and Peters, Jannik (2023) Robust and verifiable proportionality axioms for multiwinner voting. In: The Twenty-Fourth ACM Conference on Economics and Computation (EC'23), London, UK, 9-12 Jul 2023. Published in: Proceedings of the 24th ACM Conference on Economics and Computation (EC) p. 301. ISBN 9798400701047. doi:10.1145/3580507.3597785
Brown, Dominic , Bettencourt, Matthew T., Wright, Steven A., Jones, John P. and Jarvis, Stephen A. (2017) Performance of second order particle-in-cell methods on modern many-core architectures. In: Computational Plasma Physics Conference, University of York, York, UK, 20-22 Nov 2017 (Unpublished)
Brown, Vanessa, Turkay, Cagatay and Jianu, Radu (2020) Dissecting visual analytics : comparing frameworks for interpreting and modelling observed visual analytics behavior. In: 22nd Eurographics Conference on Visualization, EuroVis 2020, Virtual conference, 25-29 May 2020. Published in: EuroVis 2020 - Short Papers ISBN 9783038681069. doi:10.2312/evs.20201045
Bryson, K., Luck, Michael (Michael M.), Joy, Mike and Jones, D. T. (1999) GeneWeaver : a novel genome annotation system based on software agents. In: Seventh International Conference on Intelligent Systems for Molecular Biology, Heidelberg, Germany, 6-10 Aug 1999
Bryson, K., Luck, Michael (Michael M.), Joy, Mike, Jones, D. T., Nicolas, P., Bessieres, P. and Gibrat, J.-F. (2002) From GeneWeaver to Agmial. In: Network Tools and Applications in Biology Workshop on Agents in Bioinformatics (NETTAB 2002), Bologna, Italy, 12-14 Jul 2002
Bucknall, Alex and Fahmy, Suhaib A. (2021) Runtime abstraction for autonomous adaptive systems on reconfigurable hardware. In: Design, Automation and Test in Europe Conference, Virtual Event- Grenoble (France), 1–5 Feb 2021. Published in: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE) doi:10.23919/DATE51398.2021.9474199 ISSN 1530-1591.
Bucknall, Alex R., Shreejith, Shanker and Fahmy, Suhaib A. (2021) Build automation and runtime abstraction for partial reconfiguration on Xilinx Zynq UltraScale+. In: 2020 International Conference on Field Programmable Technology, Virtual conference, 9–11 Dec 2020. Published in: 2020 International Conference on Field-Programmable Technology (ICFPT) ISBN 9781665446228. doi:10.1109/ICFPT51103.2020.00037
Bucknall, Alex R., Shreejith, Shanker and Fahmy, Suhaib A. (2020) Network enabled partial reconfiguration for distributed FPGA edge acceleration. In: International Conference on Field Programmable Technology, Tianjin, China, 9–13 Dec 2019. Published in: 2019 International Conference on Field-Programmable Technology (ICFPT) ISBN 9781728129433. doi:10.1109/ICFPT47387.2019.00042
Bunt, Richard A., Wright, Steven A., Jarvis, Stephen A., Street, Matthew and Ho, Yoon K. (2016) Predictive evaluation of partitioning algorithms through runtime modelling. In: High Performance Computing, Data, and Analytics (HiPC'16), Hyderabad, India, 19-22 Dec 2016. Published in: 2016 IEEE 23rd International Conference on High Performance Computing (HiPC) pp. 351-361. ISBN 9781509054121.
Burda, Maxwell, Locca, Maximilian and Staykova, Kalina (2022) Decision rights decentralization in DeFi platforms. In: ECIS : 30th European Conference on Information Systems, Timisoara, Romania, 18-24 Jun 2022. Published in: ECIS 2022 Research Papers (145). ISBN 9781958200025.
Butt, I. and Rajpoot, Nasir M. (Nasir Mahmood) (2009) Multilateral filtering : a novel framework for generic similarity-based image denoising. In: 16th IEEE International Conference on Image Processing (ICIP 2009), Cairo, Egypt, 7-10 Nov, 2009. Published in: 16th IEEE International Conference on Image Processing (ICIP 2009) pp. 2981-2984. ISBN 9781424456536. doi:10.1109/ICIP.2009.5414513 ISSN 1522-4880.
Byrd, Jonathan M. R., Jarvis, Stephen A. and Bhalerao, Abhir (2010) On the parallelisation of MCMC by speculative chain execution. In: Workshop on Multitheaded Architectures and Applications (MTAAP), Atlanta, Georgia, USA, 19-23 April 2010. Published in: 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW) pp. 1-8. ISBN 9781424465330 . doi:10.1109/IPDPSW.2010.5470689
Byrd, Jonathan M. R., Jarvis, Stephen A. and Bhalerao, Abhir (2010) On the parallelisation of MCMC-based image processing. In: 9th IEEE International Workshop on High Performance Computational Biology (HiCOMB), Atlanta, Georgia, USA, 19-23 April 2010. Published in: 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW) pp. 1-8. ISBN 9781424465330. doi:10.1109/IPDPSW.2010.5470896
Byrd, Jonathan M. R., Jarvis, Stephen A. and Bhalerao, Abhir (2008) Reducing the run-time of MCMC programs by multithreading on SMP architectures. In: 22nd IEEE International Parallel and Distributed Processing Symposium (IPDPS 2008), Miami, FL, Apr 14-18 2008. Published in: IEEE International Symposium on Parallel and Distributed Processing, 2008 pp. 2242-2249. ISBN 9781424416936. doi:10.1109/IPDPS.2008.4536354 ISSN 1530-2075.
Cahsai, Atoshum, Anagnostopoulos, Christos, Ntarmos, Nikos and Triantafillou, Peter (2018) Revisiting exact kNN query processing with probabilistic data space transformations. In: 2018 IEEE International Conference on Big Data (Big Data), Seattle, USA, 10-14 Dec 2018. Published in: 2018 IEEE International Conference on Big Data (Big Data)
Cai, Kuntai, Xiao, Xiaokui and Cormode, Graham (2023) Privlava : synthesizing relational data with foreign keys under differential privacy. In: ACM SIGMOD/PODS International Conference on Management of Data, Seattle, WA, USA, 18-23 Jun 2023 (In Press)
Caldelli, Roberto, Amerini, Irene and Li, Chang-Tsun (2018) PRNU-based image classification of origin social network with CNN. In: 26th European Signal Processing Conference (EUSIPCO), Rome, Italy, 3-7 Aug 2018 ISBN 9789082797015. doi:10.23919/EUSIPCO.2018.8553160 ISSN 2076-1465.
Canonne, Clément L. and Gur, Tom (2017) An adaptivity hierarchy theorem for property testing. In: 32nd Computational Complexity Conference (CCC 2017). Published in: 32nd Computational Complexity Conference (CCC 2017, 79 27:1-27:25. ISBN 9783959770408. doi:10.4230/LIPIcs.CCC.2017.27 ISSN 1868-8969.
Cao, J., Jarvis, Stephen A., Saini, S. and Nudd, G. R. (2003) GridFlow : workflow management for grid computing. In: Proceedings of the 3rd IEEE/ACM International Symposium on Cluster Computing and the Grid (CCGrid'2003), Tokyo, Japan, 12-14 May 2003. Published in: 3rd IEEE/ACM International Symposium on Cluster Computing and the Grid, 2003. Proceedings. CCGrid 2003. pp. 198-205. ISBN 0769519199. doi:10.1109/CCGRID.2003.1199369
Cao, J., Jarvis, Stephen A., Spooner, Daniel P., Turner, James D. and Nudd, G. R. (2001) Performance prediction technology for agent-based resource management in grid environments. In: Proceedings of the 11th IEEE International Heterogeneous Computing Workshop, Ft. Lauderdale, FL, USA, 15-19 Apr 2001. Published in: Parallel and Distributed Processing Symposium., Proceedings International, IPDPS 2002 ISBN 0769515738.
Cao, J., Spooner, Daniel P., Jarvis, Stephen A., Saini, S. and Nudd, G. R. (2003) Agent-based grid load balancing using performance-driven task scheduling. In: Proceedings of the 17th IEEE International Parallel and Distributed Symposium (IPDPS'03), Nice, France, 22-26 Apr 2003. Published in: International Parallel and Distributed Processing Symposium, 2003. Proceedings. ISBN 0769519261. doi:10.1109/IPDPS.2003.1213139 ISSN 1530-2075.
Cao, J., Spooner, Daniel P., Turner, James D., Jarvis, Stephen A., Kerbyson, D. J., Saini, S. and Nudd, G. R. (2002) Agent-based resource management for grid computing. In: Proceedings of the 2nd IEEE International Symposium on Cluster Computing and the Grid, Berlin, Germany, 21-24 May 2002. Published in: Proceedings ccgrid 2002 : 2nd ieee/acm international symposium on cluster computing and the grid pp. 350-351. ISBN 0769515827. doi:10.1109/CCGRID.2002.1017159
Cardinal, Jean, Hoang, Hung, Merino, Arturo, Micka, Ondrej and Mutze, Torsten (2023) Zigzagging through acyclic orientations of graphs and hypergraphs. In: 34th Annual ACM-SIAM Symposium on Discrete Algorithms (SODA 2023), Florence, Italy, 22-25 Jan 2023. Published in: Proceedings of the 2023 Annual ACM-SIAM Symposium on Discrete Algorithms (SODA) pp. 3029-3042. ISBN 9781611977554. doi:10.1137/1.9781611977554.ch117
Cardinal, Jean, Merino, Arturo and Mutze, Torsten (2022) Efficient generation of elimination trees and graph associahedra. In: 33rd Annual ACM-SIAM Symposium on Discrete Algorithms (SODA 2022), Virtual Conference, 09-12 Jan 2022. Published in: Proceedings of SODA 2022 pp. 2128-2140. ISBN 9781611977073. doi:10.1137/1.9781611977073.84
Carmosino, Marco, Kabanets, Valentine, Kolokolova, Antonina and Carboni Oliveira, Igor (2022) LEARN-uniform circuit lower bounds and provability in bounded arithmetic. In: Symposium on Foundations of Computer Science (FOCS), Denver, Colorado, 7-10 Feb 2022. Published in: 2021 IEEE 62nd Annual Symposium on Foundations of Computer Science (FOCS) doi:10.1109/FOCS52979.2021.00080 ISSN 2575-8454.
Carvalho, Graca, Ritchie, Alisdair and Fradkin, E. D. (2019) Innovation ecosystems : greater than the sum of their parts? In: Living in the Internet of Things (IoT 2019), London, UK, 1-2 May 2019. Published in: Living in the Internet of Things (IoT 2019) p. 26. ISBN 9781839530890. doi:10.1049/cp.2019.0151
Castanhari, Raul E. Simoni, Rocha, Roberto dos Santos, Andrade, Sidgley Camargo de and Albuquerque, João Porto de (2016) A software architecture to integrate sensor data and volunteered geographic information for flood risk management. In: 13th International Conference on Information Systems for Crisis Response and Management, Rio de Janeiro, Brazil, 22-25 May 2016. Published in: Proceedings of the ISCRAM 2016 Conference – Rio de Janeiro, Brazil, May 2016
Cavalar, Bruno P. and Lu, Zhenjian (2022) Algorithms and lower bounds for comparator circuits from shrinkage. In: 13th Innovations in Theoretical Computer Science Conference (ITCS 2022), Berkeley, CA, USA, 31 Jan - 03 Feb 2022. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 215 pp. 1-21. ISBN 9783959772174. doi:10.4230/LIPIcs.ITCS.2022.34 ISSN 1868-8969.
Chakrabarti, Amit, Cormode, Graham, McGregor, Andrew, Thaler, Justin and Venkatasubramanian, Suresh (2015) Verifiable stream computation and Arthur-Merlin communication. In: 30th Conference on Computational Complexity (CCC’15), Portland, Oregon, 17-19 Jun 2015. Published in: Leibniz international proceedings in informatics (LIPIcs) pp. 217-243. doi:10.4230/LIPIcs.CCC.2015.217 ISSN 1868-8969.
Chakrapani Rao, Arun (2005) Evaluation of formal verification technology at IARC. In: The 5th International Workshop on Automated Verification of Critical Systems, Coventry, UK, 13 Sep 2005
Chakrapani Rao, Arun (2006) Evaluation of formal verification technology for model-based development of automotive software. In: Premium Automotive R&D Conference, Coventry, UK, 09 Mar 2006
Chakrapani Rao, Arun (1998) Interval temporal logic and tempura for the formal specification of real-time and safety-critical systems. In: Computer Science Postgraduate Conference, Leicester, UK, 12 Apr 1998. Published in: Proceedings of the Computer Science Postgraduate Conference
Chakrapani Rao, Arun (2014) Model based systems engineering for complex aerospace systems. In: Invited Talk at Airbus as part of UKIERI Visit, Bengaluru (formerly Bangalore), India, 12 Mar 2014
Chakrapani Rao, Arun (2018) More automated formal methods?! : If so, why, where & how? In: Automated Formal Methods Workshop 2017, in association with 9th NASA Formal Methods Symposium (NFM) 2017, Moffett Field, California, USA, 19-20 May 2017 . Published in: Proceedings of Automated Formal Methods (AFM) 2017, in association with 9th NASA Formal Methods Symposium (NFM) 2017, 5 pp. 50-62. doi:10.29007/k317 ISSN 2515-1762.
Chakrapani Rao, Arun (1999) On the need for a visual notation for ITL. In: British Colloquium for Theoretical Computer Science 15, Keele, UK, 14-16 Apr 1999
Chakrapani Rao, Arun (2017) Using simulink and stateflow for model checking of system models. In: PICASSOS Formal Methods Seminar, British Motor Museum, Gaydon, 28 Feb 2017. Published in: Proceedings of the PICASSOS Formal Methods Seminar (Unpublished)
Chakrapani Rao, Arun, Cau, Antonio and Zedan, Hussein (2000) Visualisation of interval temporal logic. In: Fifth Joint Conference on Information Sciences (JCIS 2000), New Jersey, USA, 28 Feb - 03 Mar 2000. Published in: Proceedings of The Fifth Joint Conference on Information Sciences (JCIS 2000) pp. 687-690.
Chakrapani Rao, Arun and Dixit, Manoj G. (2010) New math-based analysis techniques for next-gen feature requirements. In: General Motors Fall 2010 Kettering Forum, Detroit, Michigan, USA, 20 Oct 2010. Published in: General Motors Fall 2010 Kettering Forum Proceedings
Chakrapani Rao, Arun and Lahiri, A. K. (1994) Prediction of silicon content in hot metal in blast furnaces. In: Indian Ironmaking Conference, Jamshedpur, India, Jan 1995. Published in: Proceedings of the Indian Ironmaking Conference 1995
Chakrapani Rao, Arun, McMurran, Ross, Jones, R. P., Smith, M. A., Tudor, N. and Burnard, A. (2007) Assessing the real worth of software tools to check the healthiness conditions of automotive software. In: 2007 3rd Institution of Engineering and Technology Conference on Automotive Electronics, Coventry, UK, 28-29 June 2007 pp. 1-8. ISSN 0537-9989.
Chalmers, Alan, Bonnet, G., Banterle, Francesco, Dubla, Piotr, Debattista, Kurt, Artusi, Alessandro and Moir, Christopher (2009) A high-dynamic-range video solution. In: The 2nd ACM SIGGRAPH Conference and Exhibition in Asia, Yokohama, Japan, Dec 16-19, 2009
Chalmers, Alan and Debattista, Kurt (2009) Level of realism for serious games. In: 1st IEEE International Conference in Games and Virtual Worlds for Serious Applications (VS-GAMES 2009), Coventry, England, March 23-24, 2009. Published in: Proceedings of the IEEE Virtual Worlds for Serious Applications pp. 225-232. ISBN 978-0-7695-3588-3. doi:10.1109/VS-GAMES.2009.43
Chalmers, Alan, Howard, David and Moir, Christopher (2009) Real virtuality: a step change from virtual reality. In: The Spring Conference on Computer Graphics 2009, Budmerice, Slovakia, Apr 23-25, 2009. Published in: Proceedings of the 2009 Spring Conference on Computer Graphics pp. 9-16. doi:10.1145/1980462.1980466 ISSN ISBN:978-1-4503-0769-7.
Chan, Pak Hung, Souvalioti, Georgina, Huggett, Anthony, Kirsch, Graham and Donzella, Valentina (2021) The data conundrum : compression of automotive imaging data and deep neural network based perception. In: The London Imaging Meeting (LIM). Published in: Proceedings Society for Imaging Science and Technology London Imaging Meeting 2021, 2021 (1). pp. 78-82. ISBN 0892083466. doi:10.2352/issn.2694-118X.2021.LIM-78 ISSN 2694-118X.
Chang, Ching-Chun and Li, Chang-Tsun (2017) Reversible data hiding in JPEG images based on adjustable padding. In: 5th International Workshop on Biometrics and Forensics, Coventry, UK, 4-5 Apr 2017 ISBN 9781509057917. doi:10.1109/IWBF.2017.7935083
Chang, Ching-Chun and Li, Chang-Tsun (2019) Privacy-preserving reversible watermarking for data exfiltration prevention through lexicographic permutations. In: 14th International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP), Sendai, Japan, 26–28 Nov 2018. Published in: Recent Advances in Intelligent Information Hiding and Multimedia Signal Processing. IIH-MSP 2018. Smart Innovation, Systems and Technologies, 109 pp. 330-339. ISBN 9783030037444. doi:10.1007/978-3-030-03745-1_41
Chang, Ching-Chun and Li, Chang-Tsun (2018) Secure secret sharing in the cloud. In: 2017 IEEE International Symposium on Multimedia , Taichung, Taiwan, 11-13 Dec 2017 . Published in: 2017 IEEE International Symposium on Multimedia (ISM) pp. 358-361. ISBN 9781538629383. doi:10.1109/ISM.2017.67
Channa, A., Rajpoot, Nasir M. (Nasir Mahmood) and Rajpoot, Kashif (2006) Texture segmentation using ant tree clustering. In: IEEE International Conference on Engineering of Intelligent Systems (ICEIS 2006), Islamabad, Pakistan, 14-15 Jan 2006. Published in: 2006 IEEE International Conference on Engineering of Intelligent Systems pp. 1-6. ISBN 1424404568.
Chapman, C. and Pinfold, Martyn Keith (1999) Design engineering - a need to rethink the solution using knowledge based engineering. In: 18th SGES International Conference on Knowledge-Based Systems and Applied Artificial Intelligence (ES98), Cambridge, UK, 14-16 Dec 1998. Published in: Knowledge-Based Systems, 12 (5-6). pp. 257-267. ISSN 0950-7051.
Chatterjee, Krishnendu, Henzinger, Thomas A. and Jurdzinski, Marcin (2006) Games with secure equilibria. In: 3rd International Symposium on Formal Methods for Components and Objects, Leiden, Netherlands, 2-05 Nov 2004. Published in: Theoretical Computer Science, 365 (1-2). pp. 67-82. doi:10.1016/j.tcs.2006.07.032 ISSN 0304-3975.
Chau, Thomas, Dudziak, Łukasz, Wen, Hongkai, Lane, Nicholas D. and Abdelfattah, Mohamed S. (2022) BLOX : macro neural architecture search benchmark and algorithms. In: 36th Conference on Neural Information Processing Systems (NeurIPS 2022), Hybrid ; New Orleans, 28 Nov - 9 Dec 2022. Published in: Advances in Neural Information Processing Systems (NeurIPS 2022), 35 ISBN 9781713845393.
Chau, Thomas C. P., Dudziak, Lukasz, Wen, Hongkai, Lane, Nicholas Donald and Abdelfattah, Mohamed S. (2022) BLOX : micro neural architecture search benchmark and algorithms. In: 36th Conference on Neural Information Processing Systems (NeurIPS 2022), Hybrid ; New Orleans, 28 Nov - 9 Dec 2022
Chen, Chao, Lee, Sang Woo, Watson, Tim, Maple, Carsten and Lu, Yi (2018) ATHENA : a pagerank-based scheme to solve the thundering herd in authentication. In: 2018 IEEE Vehicular Networking Conference (VNC), Taipei, Taiwan, 05-07 Dec 2018 pp. 1-4. ISBN 9781538694299. doi:10.1109/VNC.2018.8628353 ISSN 2157-9857 .
Chen, J., Inoue, H., Okamoto, Toshio, Belkada, S. and Cristea, Alexandra I. (2001) The development of CALL environment on the WWW for teaching academic English. In: 2nd IEEE International Conference on Advanced Learning Technologies (ICALT 2001), Madison, USA, 6-8 Aug 2001. Published in: IEEE International Conference on Advanced Learning Technologies, 2001. Proceedings. pp. 135-136. ISBN 0769510132. doi:10.1109/ICALT.2001.943879
Chen, Lijie, Hirahara, Shuichi, Carboni Oliveira, Igor, Pich, Jan, Rajgopal, Ninad and Santhanam, Rahul (2020) Beyond natural proofs : hardness magnification and locality. In: Innovations in Theoretical Computer Science, Seattle, USA, 12-14 Jan 2020. Published in: 11th Innovations in Theoretical Computer Science Conference (ITCS 2020), 151 70 :1-70 :48. ISBN 9783959771344. doi:10.4230/LIPIcs.ITCS.2020.70
Chen, Lijie, Lu, Zhenjian, Lyu, Xin and Oliveira, Igor C. (2021) Majority vs. approximate linear sum and average-case complexity below NC1. In: International Colloquium on Automata, Languages and Programming (ICALP), Virtual conference, 12-16 Jul 2021. Published in: 48th International Colloquium on Automata, Languages, and Programming (ICALP 2021), 198 51:1-51:20. ISBN 9783959771955. doi:10.4230/LIPIcs.ICALP.2021.51 ISSN 1868-8969.
Chen, Megan, Chiesa, Alessandro, Gur, Tom, O’Connor, Jack and Spooner, Nicholas (2023) Proof-carrying data from arithmetized random oracles. In: 42nd Annual International Conference on the Theory and Applications of Cryptographic Techniques, Lyon, France, 23–27 Apr 2023. Published in: Advances in Cryptology – EUROCRYPT 2023, 14005 pp. 379-404. ISBN 9783031306167. doi:10.1007/978-3-031-30617-4_13 ISSN 0302-9743.
Chen, Shufeng, Khastgir, Siddartha, Babaev, Islam and Jennings, Paul. A. (2020) Identifying accident causes of driver-vehicle interactions using system theoretic process analysis (STPA). In: IEEE International Conference on Systems, Man, and Cybernetics , Virtual conference, 11-14 Oct 2020. Published in: 2020 IEEE International Conference on Systems, Man, and Cybernetics (SMC) ISBN 9781728185279. doi:10.1109/SMC42975.2020.9282848
Chen, Shufeng, Khastgir, Siddartha and Jennings, Paul. A. (2023) A system-based safety assurance framework for human-vehicle interactions. In: WCX SAE World Congress Experience, Detroit, Michigan, 18-20 Apr 2023. Published in: SAE Technical Papers, 1 doi:10.4271/2023-01-0653 ISSN 0148-7191.
Chen, Wei-Ning, Özgür, Ayfer, Cormode, Graham and Bharadwaj, Akash (2023) The communication cost of security and privacy in federated frequency estimation. In: International Conference on Artificial Intelligence and Statistics, Palau de Congressos, Valencia, Spain, 25-27 Apr 2023. Published in: Proceedings of Machine Learning Research, 206 pp. 4247-4274. ISSN 2640-3498.
Chen, Xi, Oliveira, Igor C., Servedio, Rocco A. and Tan, Li-Yang (2016) Near-optimal small-depth lower bounds for small distance connectivity. In: STOC 2016: 48th Annual Symposium on the Theory of Computing, Cambridge, MA, USA, 19-21 Jun 2016. Published in: Proceedings of the forty-eighth annual ACM symposium on Theory of Computing pp. 612-625. ISBN 9781450341325. doi:10.1145/2897518.2897534
Chen, Xinuo and Jarvis, Stephen A. (2009) Analysing BitTorrent's seeding strategies. In: 7th IEEE/IFIP International Conference on Embedded and Ubiqutious Computing (EUC-09), Vancouver, Canada, 29-31 Aug, 2009. Published in: CSE 2009 : 12th IEEE International Conference on Computational Science and Engineering, Volume 2 pp. 140-149. ISBN 9781424453344. doi:10.1109/CSE.2009.140
Chen, Xinuo and Jarvis, Stephen A. (2008) Design and implementation of efficient range query over DHT services. In: Proceedings of the 1st International Conference on Signal Processing and Communication Systems, Gold Coast, Australia, 17-19 Dec 2007. Published in: Proceedings of the 1st International Conference on Signal Processing and Telecommunication Systems pp. 571-579. ISBN 9780975693445.
Chen, Xinuo, Jarvis, Stephen A., Tan, G., He, Ligang, Spooner, Daniel P. and Nudd, G. R. (2005) An implementation of BLAST over peer-to-peer and its performance validation through simulation. In: 8th International Conference on Computer Modelling and Simulation, Oxford, UK, 6-8 Apr 2005
Chen, Yih-Chang, Russ, Steve and Beynon, Meurig (2000) Empirical modelling for business process reengineering : an experience-based approach. In: Perspective in Business Informatics Research (BIR 2000), Rostock, Germany, 31 Mar - 1 Apr 2000
Chen, Yih-Chang, Russ, Steve and Beynon, Meurig (2000) Participative process modelling. In: IEEE International Conference on Systems, Man and Cybernetics, Nashville, TN, 08-11 Oct 2000. Published in: 2000 IEEE International Conference on Systems, Man, and Cybernetics, Volume 1 pp. 619-624. ISBN 0780365836. ISSN 1062-922X.
Chen, Yunfei and Beaulieu, Norman C. (2009) Collaborative spectrum sensing with imperfect gaussian channel estimation. In: IEEE Wireless Communications and Networking Conference, Budapest, Hungary, April 05-08, 2009. Published in: 2009 IEEE Wireless Communications and Networking Conference pp. 498-502. ISBN 978-1-4244-2947-9. doi:10.1109/WCNC.2009.4917629 ISSN 1525-3511.
Cheng, K. P., Yip, Daniel C. Y., Lau, K. H. and Barnes, Stuart (2004) Development of a generic computer aided deductive algorithm for process parameter design. In: 8th International Conference on Knowledge-Based Intelligent Information and Engineering Systems, Wellington Inst Technol, Wellington, NEW ZEALAND, SEP, 2004. Published in: Knowledge-Based Intelligent Information and Engineering Systems, Pt 2, Proceedings, Volume 3214 pp. 28-35. ISBN 3-540-23206-0. ISSN 0302-9743.
Chester, Adam P., Leeke, Matthew, Al-Ghamdi, M., Jarvis, Stephen A. and Jhumka, Arshad (2011) A modular failure-aware resource allocation architecture for cloud computing. In: UK Performance Engineering Workshop (UKPEW'11), Bradford, United Kingdom, 7-8 July 2011
Chester, Adam P., Leeke, Matthew, Al-Ghamdi, M., Jhumka, Arshad and Jarvis, Stephen A. (2011) A framework for data center scale dynamic resource allocation algorithms. In: 11th IEEE International Conference on Computer and Information Technology (CIT), Pafos, Cyprus, 31st August - 2nd September 2011. Published in: Proceedings of the 11th IEEE International Conference on Scalable Computing and Communications (SCALCOM'11) pp. 67-74. ISBN 9781457703836 . doi:10.1109/CIT.2011.72
Chester, Adam P., Xue, J. W. J., He, Ligang and Jarvis, Stephen A. (2008) A system for dynamic server allocation in application server clusters. In: IEEE International Symposium on Parallel and Distributed Processing with Applications, Sydney, Australia, Dec 10-12, 2008. Published in: IEEE International Parallel and Distributed Processing with Applications Symposium. Proceedings pp. 130-139. ISBN 9780769534718. doi:10.1109/ISPA.2008.88
Chester, Dean G., Groves, Taylor L., Hammond, Simon D., Law, Timothy R., Wright, Steven A., Smedley-Stevenson, Richard P., Fahmy, Suhaib A., Mudalige, Gihan R. and Jarvis, Stephen A. (2021) StressBench : a configurable full system network and I/O benchmark framework. In: ISC High Performance 2021 Digital, Virtual conference, 24 Jun-02 Jul 2021 (Unpublished)
Chester, Dean G., Groves, Taylor L., Hammond, Simon D., Law, Timothy R., Wright, Steven A., Smedley-Stevenson, Richard P., Fahmy, Suhaib A., Mudalige, Gihan R. and Jarvis, Stephen A. (2021) StressBench : a configurable full system network and I/O benchmark framework. In: 2021 IEEE High Performance Extreme Computing Virtual Conference, Virtual, 20-24 Sep 2021
Cheung, David L., Anton, Lucian, Allen, M. P. and Masters, Andrew J. (2008) Computer simulation of liquids and liquid crystals. In: Conference on Computational Physics, Brussels, Belgium, 5th-8th Sep 2007. Published in: Computer Physics Communications, Vol.179 (No.1-3). pp. 61-65. doi:10.1016/j.cpc.2008.01.029 ISSN 0010-4655.
Chiesa, Alessandro, Forbes, Michael, Gur, Tom and Spooner, Nicholas (2018) Spatial isolation implies zero knowledge even in a quantum world. In: 59th Annual IEEE Symposium on Foundations of Computer Science, Paris, France, 7-9 Oct 2018. Published in: 59th Annual IEEE Symposium on Foundations of Computer Science pp. 755-765. ISBN 9781538642306. doi:10.1109/FOCS.2018.00077 ISSN 1523-8288.
Chiesa, Alessandro and Gur, Tom (2018) Proofs of proximity for distribution testing. In: 9th Innovations in Theoretical Computer Science Conference (ITCS 2018). Published in: 9th Innovations in Theoretical Computer Science Conference (ITCS 2018), 94 53:1-53:14. ISBN 9783959770606. doi:10.4230/LIPIcs.ITCS.2018.53 ISSN 1868-8969.
Chiesa, Alessandro, Gur, Tom and Shinkar, Igor (2020) Relaxed locally correctable codes with nearly-linear block length and constant query complexity. In: 31st ACM-SIAM Symposium on Discrete Algorithms (SODA20), Salt Lake City, Utah, U.S., 5-8 Jan 2020. Published in: Proceedings of the 2020 ACM-SIAM Symposium on Discrete Algorithms (SODA) 1395-1411 . ISBN 9781611975994. doi:10.1137/1.9781611975994.84
Chirigati, F., Doraiswamy, H., Damoulas, T. and Freire, J. (2016) Data polygamy : the many-many relationships among urban spatio-temporal data sets. In: ACM SIGMOD International Conference on Management of Data (SIGMOD 2016), San Francisco, 26 Jun - 01 Jul 2016. Published in: SIGMOD '16 Proceedings of the 2016 International Conference on Management of Data pp. 1011-1025. ISBN 9781450335317. doi:10.1145/2882903.2915245
Chistikov, D., Kiefer, S., Marušić, I., Shirmohammadi, M. and Worrell, J. (2017) On rationality of nonnegative matrix factorization. In: Twenty-Eighth Annual ACM-SIAM Symposium on Discrete Algorithms, Barcelona, Spain, 16-19 Jan 2017. Published in: Proceedings of the Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1290-1305. ISBN 9781611974782. doi:10.1137/1.9781611974782.84 ISSN 1071-9040.
Chistikov, Dmitry (2014) Notes on counting with finite machines. In: 34th International Conference on Foundation of Software Technology and Theoretical Computer Science (FSTTCS 2014), New Delhi, India, 15–17 Dec 2014, 29 pp. 339-350. ISBN 9783939897774. ISSN 1868-8969.
Chistikov, Dmitry, Czerwinski, Wojciech, Hofman, Piotr, Mazowiecki, Filip and Sinclair-Banks, Henry (2023) Acyclic Petri and workflow nets with resets. In: 43rd IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science (FSTTCS 2023), Hyderabad, India, 18-20 Dec 2023. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 284 16:1-16:18. doi:10.4230/LIPIcs.FSTTCS.2023.16 ISSN 1868-8969.
Chistikov, Dmitry, Estrada, Luisa, Turrini, Paolo and Paterson, Mike (2024) Learning a social network by influencing opinions. In: 23rd International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024), Auckland, New Zealand, 6–10 May 2024. Published in: Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024) (In Press)
Chistikov, Dmitry and Haase, Christoph (2017) On the complexity of quantified integer programming. In: The 44th International Colloquium on Automata, Languages, and Programming (ICALP), Warsaw, Poland, 10-14 July 2017. Published in: 44th International Colloquium on Automata, Languages, and Programming (ICALP 2017), 80 94:1-94:13. ISBN 9783959770415. doi:10.4230/LIPIcs.ICALP.2017.94 ISSN 1868-8969.
Chistikov, Dmitry and Haase, Christoph (2016) The taming of the semi-linear set. In: 43rd International Colloquium on Automata, Languages, and Programming (ICALP 2016), 55 (128). 128:1-128:13. ISBN 9783959770132. doi:10.4230/LIPIcs.ICALP.2016.128 ISSN 1868-8969.
Chistikov, Dmitry, Iván, S., Lubiw, A. and Shallit, J. (2017) Fractional coverings, greedy coverings, and rectifier networks. In: 34th Symposium on Theoretical Aspects of Computer Science (STACS 2017), Hannover, Germany, 8-11 Mar 2017. Published in: Leibniz International Proceedings in Informatics, LIPIcs, 66 23:1-23:14. ISBN 9783959770286. ISSN 1868-8969.
Chistikov, Dmitry, Lisowski, Grzegorz, Paterson, Michael S. and Turrini, Paolo (2019) Convergence of opinion diffusion is PSPACE-complete. In: AAAI-34th conference on Artificial Intelligence, New York, New York, 7-12 Feb 2020. Published in: Proceedings of The Thirty-Fourth AAAI Conference on Artificial Intelligence, AAAI 2020 pp. 7103-7110.
Chistikov, Dmitry, Majumdar, Rupak and Schepper, Philipp (2022) Subcubic certificates for CFL reachability. In: ACM SIGPLAN Symposium on Principles of Programming Languages (POPL 2022), Philadelphia, Pennsylvania, United States, 16-22 Jan 2022. Published in: Proceedings of the ACM on Programming Languages, 6 (POPL). doi:10.1145/3498702 ISSN 2475-1421.
Chistikov, Dmitry, Murawski, Andrzej S. and Purser, David (2019) Asymmetric distances for approximate differential privacy. In: 30th International Conference on Concurrency Theory (CONCUR 2019), Amsterdam, Netherlands, 27-30 Aug 2019, 140 pp. 1-17. ISBN 9783959771214. doi:10.4230/LIPIcs.CONCUR.2019.10 ISSN 1868-8969.
Chistikov, Dmitry and Vyalyi, Mikhail (2020) Re-pairing brackets. In: The 35th Annual ACM/IEEE Symposium on Logic in Computer Science (LICS 2020), 8-11 Jul 2020. Published in: LICS '20: Proceedings of the 35th Annual ACM/IEEE Symposium on Logic in Computer Science pp. 312-326. ISBN 9781450371049. doi:10.1145/3373718.3394752
Chitnis, Rajesh and Cormode, Graham (2019) Towards a theory of parameterized streaming algorithms. In: International Symposium on Parameterized and Exact Computation, Munich, Germany, 9-13 Sep 2019. Published in: 14th International Symposium on Parameterized and Exact Computation (IPEC 2019), 148 7:1-7:15. ISBN 9783959771290. doi:10.4230/LIPIcs.IPEC.2019.7
Chitnis, Rajesh, Cormode, Graham, Esfandiari, Hossein, Hajiaghayi, Mohammad Taghi, McGregor, Andrew, Monemizadeh, Morteza and Vorotnikova, Sofya (2016) Kernelization via sampling with applications to dynamic graph streams. In: ACM-SIAM Symposium on Discrete Algorithms (SODA) 2016, Arlington, Virginia, 10-12 Jan 2016. Published in: Proceedings of the 2016 Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1326-1344. ISBN 9781611974331. doi:10.1137/1.9781611974331.ch92
Chitnis, Rajesh, Cormode, Graham, Esfandiari, Hossein, Hajiaghayi, Mohammad Taghi and Monemizadeh, Morteza (2015) New streaming algorithms for parameterized maximal matching & beyond. In: 27th ACM symposium on Parallelism in Algorithms and Architectures, Portland, Oregon, USA, 13-15 Jun 2015. Published in: Proceedings of the 27th ACM symposium on Parallelism in Algorithms and Architectures pp. 56-58. ISBN 9781450335881. doi:10.1145/2755573.2755618
Chitnis, Rajesh, Cormode, Graham, Hajiaghayi, Mohammad Taghi and Monezimadeh, Morteza (2015) Parameterized streaming : maximal matching and vertex cover. In: ACM SIAM Symposium on Discrete Algorithms (SODA), San Diego, USA, 4-6 Jan 2015. Published in: Proceedings of the Twenty-Sixth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1234-1251. ISBN 9781611973747. doi:10.1137/1.9781611973730.82
Chitnis, Rajesh, Feldmann, Andreas Emil and Manurangsi, Pasin (2018) Parameterized approximation algorithms for bidirected Steiner network problems. In: 26th Annual European Symposium on Algorithms (ESA 2018), Helsinki, Finland, 20–22 Aug 2018. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 112 20:1-20:16. ISBN 9783959770811. doi:10.4230/LIPIcs.ESA.2018.20 ISSN 1868-8969.
Chotvijit, Sarunkorn, Thiarai, Malkiat and Jarvis, Stephen A. (2018) Big data analytics in social care provision : spatial and temporal evidence from Birmingham. In: dgo '18 Proceedings of the 19th Annual International Conference on Digital Government Research: Governance in the Data Age, Delft, The Netherlands, 30 May - 01 June 2018. Published in: dgo '18 Proceedings of the 19th Annual International Conference on Digital Government Research : Governance in the Data Age ISBN 9781450365260. doi:10.1145/3209281.3209300
Chow, Andrew D. H. and Joy, Mike (2005) Shifting the focus from methodologies to techniques. In: 6th Annual Conference of the HEA Network for Information and Computer Sciences, York, UK, 20 Aug - 1 Sep 2005 pp. 25-29.
Chowdhury, Shreyan, Guha, Tanaya and Hegde, Rajesh M. (2017) Music tempo estimation using sub-band synchrony. In: Interspeech 2017, Stockholm, Sweden, 20-24 Aug 2017. Published in: Proceedings of Interspeech 2017 pp. 3093-3096. doi:10.21437/Interspeech.2017-1000 ISSN 1990-9772.
Chuah, Edward, Jhumka, Arshad, Alt, Samantha, Damoulas, Theodoros, Gurumdimma, Nentawe, Sawley, Marie-Christine, Barth, William L., Minyard, Tommy and Browne, James C. (2017) Case study of error recovery and error propagation on ranger. In: 24th IEEE International Conference on High Performance Computing, Data, and Analytics (HiPC 2017), Jaipur, India, 18-21 Dec 2017 (Unpublished)
Chuah, Edward, Jhumka, Arshad, Alt, Samantha, Damoulas, Theodoros, Gurumdimma, Nentawe, Sawley, Marie-Christine, Barth, William L., Minyard, Tommy and Browne, James C. (2018) Enabling dependability-driven resource use and message log-analysis for cluster system diagnosis. In: 24th IEEE International Conference on High Performance Computing, Data, and Analytics, Jaipur, India, 18-21 Dec 2017. Published in: 2017 IEEE 24th International Conference on High Performance Computing (HiPC) ISBN 9781538622940. doi:10.1109/HiPC.2017.00044
Chuah, Edward, Jhumka, Arshad, Browne, James C., Gurumdimma, Nentawe, Narasimhamurthy, Sai and Barth, Bill (2017) Using message logs and resource use data for cluster failure diagnosis. In: 23rd annual IEEE International Conference on High Performance Computing, Data, and Analytics (HiPC 2016), Hyderabad, India, 19-22 Dec 2016 ISBN 9781509054114.
Ciucu, Florin and Poloczek, Felix (2015) On multiplexing flows : does it hurt or not? In: IEEE Infocom 2015, Hong Kong, 26 Apr - 01 May 2015. Published in: 2015 IEEE Conference on Computer Communications (INFOCOM) pp. 1122-1130. ISBN 9781477983810. doi:10.1109/INFOCOM.2015.7218486
Ciucu, Florin, Poloczek, Felix, Chen, Lydia and Chan, Martin (2021) Practical analysis of replication-based systems. In: IEEE International Conference on Computer Communications, Virtual Conference, 10-13 May 2021. Published in: IEEE INFOCOM 2021 - IEEE Conference on Computer Communications doi:10.1109/INFOCOM42981.2021.9488829 ISSN 0743-166X.
Ciucu, Florin, Poloczek, Felix and Rizk, Amr (2019) Queue and loss distributions in finite-buffer queues. In: ACM Sigmetrics / IFIP Networking 2019, Phoenix, Arizona, USA , 24-28 Jun 2019. Published in: Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), 3 (2). doi:10.1145/3341617.3326146 ISSN 2476-1249.
Clark, Ronald, Wang, Sen, Markham, Andrew, Trigoni, Niki and Wen, Hongkai (2017) Vidloc : a deep spatio-temporal model for 6-dof video-clip relocalization. In: CVPR, Honolulu, Hawaii, 22-25 Jul 2017. Published in: 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), 1 pp. 2652-2660. doi:10.1109/CVPR.2017.284
Clark, Ronald, Wang, Sen, Wen, Hongkai, Markham, Andrew and Trigoni, Niki (2017) VINet : Visual-inertial odometry as a sequence-to-sequence learning problem. In: Thirty-First AAAI Conference on Artificial Intelligence, San Francisco, California, 4-9 Feb 2017. Published in: Proceedings of Thirty-First AAAI Conference on Artificial Intelligence pp. 3995-4001. ISBN 9781577357834. doi:10.5555/3298023.3298149
Clemente, Lorenzo, Lasota, Slawomir, Lazic, Ranko and Mazowiecki, Filip (2017) Timed pushdown automata and branching vector addition systems. In: 32nd Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), Reykjavik, Iceland, 20-23 Jun 2017. Published in: 2017 32nd Annual ACM/IEEE Symposium on Logic in Computer Science (LICS) ISBN 9781509030194. doi:10.1109/LICS.2017.8005083
Coetzee, Peter and Jarvis, Stephen A. (2013) CRUCIBLE : towards unified secure on- and off-line analytics at scale. In: The 2013 International Workshop on Data-Intensive Scalable Computing Systems, Denver, Colorado, USA, 18 Nov 2013. Published in: Proceedings of the 2013 International Workshop on Data-Intensive Scalable Computing Systems pp. 43-48. ISBN 9781450325066. doi:10.1145/2534645.2534649
Coetzee, Peter and Jarvis, Stephen A. (2015) Goal-based analytic composition for on- and off-line execution at scale. In: The 9th IEEE International Conference on Big Data Science and Engineering, Helsinki, Finland, 20-22 Aug 2015. Published in: 2015 IEEE Trustcom/BigDataSE/ISPA ISBN 9781467379526. doi:10.1109/Trustcom.2015.562
Coja-Oghlan, Amin (2011) On belief propagation guided decimation for random k-SAT. In: Twenty-Second Annual ACM-SIAM Symposium on Discrete Algorithms, San Francisco, CA, USA, 23 - 25 Jan 2011. Published in: SODA '11 Proceedings of the Twenty-Second Annual ACM-SIAM Symposium on Discrete Algorithms pp. 957-966.
Coja-Oghlan, Amin, Onsjoe, Mikael and Watanabe, Osamu (2010) Propagation connectivity of random hypergraphs. In: 13th International Workshop on Approximation Algorithms for Combinatorial Optimization Problems (APPROX 2010)/14th International Workshop on Randomization and Computation (RANDOM 2010), Univ Politecnica Catalunya (UPC), Barcelona, Spain, 01-03 Sep 2010. Published in: Lecture Notes in Computer Science, Vol.6302 pp. 490-503. ISBN 978-3-642-15368-6. doi:10.1007/978-3-642-15369-3_37 ISSN 0302-9743.
Conlan, Chris, Cunningham, Teddy, Demirci, Gunduz and Ferhatosmanoglu, Hakan (2021) Collective shortest paths for minimizing congestion on temporal load-aware road networks. In: SIGSPATIAL 2021 : 14th International Workshop on Computational Transportation Science (IWCTS '21), Beijing, China, 2-5 Nov 2021. Published in: IWCTS '21: Proceedings of the 14th ACM SIGSPATIAL International Workshop on Computational Transportation Science pp. 1-10. ISBN 9781450391177. doi:10.1145/3486629.3490691
Conlan, Chris, Cunningham, Teddy and Ferhatosmanoglu, Hakan (2023) Dynamic spatio-temporal access queries using semi-supervised regression. In: 39th International Conference on Data Engineering Workshops (ICDEW), Anaheim, USA, 03-07 Apr 2023. Published in: 2023 IEEE 39th International Conference on Data Engineering Workshops (ICDEW) pp. 162-169. doi:10.1109/icdew58674.2023.00031
Conlan, Chris, Oakley, Joe, Demirci, Gunduz Vehbi, Sfyridis, Alexandros and Ferhatosmanoglu, Hakan (2023) Real-time spatio-temporal forecasting with dynamic urban event and vehicle-level flow information. In: 5th International Workshop on Big Mobility Data Analytics (BMDA). Proceedings of the Workshops of the EDBT/ICDT 2023 Joint Conference, Ioannina, Greece, 28-31 Mar 2023. Published in: CEUR Workshop Proceedings, 3379 doi:urn:nbn:de:0074-3379-5 ISSN 1613-0073.
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) Characterizing latency overheads in the deployment of FPGA accelerators. In: International Conference on Field Programmable Logic and Applications (FPL), Virtual conference, 31 Aug–4 Sep 2020. Published in: 2020 30th International Conference on Field-Programmable Logic and Applications (FPL) ISBN 9781728199030. doi:10.1109/FPL50879.2020.00064 ISSN 1946-1488.
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) Quantifying the latency benefits of near-edge and in-network FPGA acceleration. In: International Workshop on Edge Systems, Analytics and Networking (EdgeSys), Heraklion, Greece, 27 Apr 2020. Published in: EdgeSys '20: Proceedings of the Third ACM International Workshop on Edge Systems, Analytics and Networking pp. 7-12. ISBN 9781450371322/20/04. doi:10.1145/3378679.3394534
Corea, Stephen (2008) Value-program analysis : capturing the pluralistic nature of IT enabled organizational action. In: 10th International Business Information Management Association Conference, Kuala Lumpur, Malaysia, Jun 30-Jul 01, 2008. Published in: Communications of the IBIMA, Vol.4 (No.15). pp. 97-106. ISBN 978-0-9753393-9-8.
Cormode, Graham (2023) Gems of pods : applications of sketching and pathways to impact. In: 2023 ACM SIGMOD/PODS Conference on Management of Data, Seattle, WA, USA, 18-23 Jun 2023. Published in: PODS '23: Proceedings of the 42nd ACM SIGMOD-SIGACT-SIGAI Symposium on Principles of Database Systems pp. 5-10. ISBN 9798400701276. doi:10.1145/3584372.3589937
Cormode, Graham and Dark, J. (2017) Fast sketch-based recovery of correlation outliers. In: 21st International Conference on Database Theory, Vienna, Austria, 26-29 Mar 2018. Published in: Leibniz International Proceedings in Informatics (LIPIcs) 13:1-13:19. ISSN 1868-8969.
Cormode, Graham, Dark, Jacques and Konrad, Christian (2019) Independent sets in vertex-arrival streams. In: 46th International Colloquium on Automata, Languages and Programming, Patras, Greece, 8-12 Jul 2019. Published in: 46th International Colloquium on Automata, Languages, and Programming (ICALP 2019) 45-1-45-14. ISBN 9783959771092. doi:10.4230/LIPIcs.ICALP.2019.45 ISSN 1868-8969.
Cormode, Graham, Dickens, Charlie and Woodruff, David P. (2021) Subspace exploration : bounds on projected frequency estimation. In: The 2021 ACM SIGMOD/PODS Conference, Virtual conference, 20-25 Jun 2021. Published in: PODS'21: Proceedings of the 40th ACM SIGMOD-SIGACT-SIGAI Symposium on Principles of Database Systems pp. 273-284. ISBN 9781450383813. doi:10.1145/3452021.3458312
Cormode, Graham, Garofalakis, Minos and Shekelyan, Michael (2021) Data-independent space partitionings for summaries. In: The 2021 ACM SIGMOD/PODS Conference, Virtual conference, 20-25 Jun 2021. Published in: PODS'21: Proceedings of the 40th ACM SIGMOD-SIGACT-SIGAI Symposium on Principles of Database Systems pp. 285-298. ISBN 9781450383813. doi:10.1145/3452021.3458316
Cormode, Graham and Hickey, Christopher J. A. (2018) Cheap checking for cloud computing : statistical analysis via annotated data streams. In: The 21st International Conference on Artificial Intelligence and Statistics, Playa Blanca, Lanzarote, Canary Islands, 9-11 Apr 2018. Published in: Proceedings of the 21st International Conference on Artificial Intelligence and Statistics, 84
Cormode, Graham, Jowhari, Hossein, Monemizadeh, Morteza and Muthukrishnan, S. (2017) The sparse awakens : streaming algorithms for matching size estimation in sparse graphs. In: ESA 2017 – The 25th Annual European Symposium on Algorithms, Vienna, Austria, 04-08 Sep 2017. Published in: ALGO 2017, 2017
Cormode, Graham, Karnin, Zohar, Liberty, Edo, Thaler, Justin and Veselý, Pavel (2021) Relative error streaming quantiles. In: The 2021 ACM SIGMOD/PODS Conference, Virtual conference, 20-25 Jun 2021. Published in: PODS'21: Proceedings of the 40th ACM SIGMOD-SIGACT-SIGAI Symposium on Principles of Database Systems pp. 96-108. ISBN 9781450383813. doi:10.1145/3452021.3458323
Cormode, Graham, Kulkarni, Tejas M. and Srivastava, D. (2019) Answering range queries under local differential privacy. In: International Conference on Very Large Data Bases (VLDB), California, 26-30 Aug 2019. Published in: Proceedings of the VLDB Endowment, 12 (10). pp. 1126-1138. doi:10.14778/3339490.3339496 ISSN 2150-8097.
Cormode, Graham, Kulkarni, Tejas M. and Srivastava, D. (2018) Marginal release under local differential privacy. In: 2018 ACM SIGMOD/PODS, Houston, TX, USA, 10-15 Jun 2018. Published in: SIGMOD '18 Proceedings of the 2018 International Conference on Management of Data pp. 131-146. ISBN 9781450347037. doi:10.1145/3183713.3196906
Cormode, Graham, Mishra, Abhinav, Ross, Joseph and Veselý, Pavel (2021) Theory meets practice at the median : a worst case comparison of relative error quantile algorithms. In: ACM SIGKDD Conference, Virtual Event, Singapore, 14–18 Aug 2021. Published in: KDD '21: Proceedings of the 27th ACM SIGKDD Conference on Knowledge Discovery & Data Mining pp. 2722-2731. ISBN 978145038332. doi:10.1145/3447548.3467152
Cormode, Graham and Veselý, Pavel (2019) Streaming algorithms for bin packing and vector scheduling. In: Workshop on Approximation and Online Algorithms, Munich, Germany, 9-13 Sep 2019. Published in: Approximation and Online Algorithms. WAOA 2019, 11926 pp. 72-88. ISBN 9783030394783. doi:10.1007/978-3-030-39479-0_6
Cormode, Graham and Veselý, Pavel (2020) A tight lower bound for comparison-based quantile summaries. In: 39th ACM SIGMOD-SIGACT-SIGAI Symposium on Principles of Database Systems (PODS’20), Portland, OR, USA, 14–19 Jun 2020. Published in: Proceedings of the 39th ACM SIGMOD-SIGACT-SIGAI Symposium on Principles of Database Systems (PODS’20) pp. 81-93. doi:10.1145/3375395.3387650
Cosma, Georgina and Joy, Mike (2006) Source-code plagiarism : a UK Academic Perspective. In: 7th Annual Conference of the HEA Network for Information and Computer Sciences, Dublin, Eire, 29-31 Aug 2006 pp. 116-120.
Cotton-Barratt, C., Murawski, Andrzej S. and Ong, C.-H. L. (2017) ML and extended branching VASS. In: 26th European Symposium on Programming (ESOP'17), Uppsala, Sweden, 22-29 Apr 2017. Published in: Programming Languages and Systems, 10201 pp. 314-340. ISBN 9783662544334. ISSN 0302-9743.
Coy, Sam and Czumaj, Artur (2022) Deterministic massively parallel connectivity. In: ACM SIGACT Symposium on Theory of Computing (STOC ’22), Rome, Italy, 20-24 Jun. Published in: STOC 2022: Proceedings of the 54th Annual ACM SIGACT Symposium on Theory of Computing pp. 162-175. ISBN 9781450392648. doi:10.1145/3519935.3520055
Coy, Sam, Czumaj, Artur, Davies-Peck, Peter and Mishra, Gopinath (2024) Parallel derandomization for coloring. In: 38th IEEE International Parallel & Distributed Processing Symposium (IPDPS), San Francisco, 27-31 May 2024. Published in: Proceedings of the 38th IEEE International Parallel & Distributed Processing Symposium (IPDPS) (In Press)
Coy, Sam, Czumaj, Artur, Feldmann, Michael, Hinnenthal, Kristian, Kuhn, Fabian, Scheideler, Christian, Schneider, Philipp and Struijs, Martijn (2022) Near-shortest path routing in hybrid communication networks. In: 25th International Conference on Principles of Distributed Systems (OPODIS 2021), Strasbourg, France, 13–15 Dec 2021. Published in: 25th International Conference on Principles of Distributed Systems (OPODIS 2021), 217 11:1-11:23. ISBN 9783959772198. doi:10.4230/LIPIcs.OPODIS.2021.11
Coy, Sam, Czumaj, Artur and Mishra, Gopinath (2023) On parallel k-center clustering. In: SPAA '23: 35th ACM Symposium on Parallelism in Algorithms and Architectures, Orlando, Florida, USA, 16-19 Jun 2023. Published in: Proceedings of the 35th ACM Symposium on Parallelism in Algorithms and Architectures pp. 65-75. ISBN 9781450395458. doi:10.1145/3558481.3591075
Creese, Sadie and Goldsmith, M. (Michael) (2008) Requirements and concepts for information assurance and pervasive adaptation co-design. In: Second IEEE International Conference on Self-Adaptive and Self-Organizing Systems, Venice, Italy, Oct 20-24, 2008. Published in: Second IEEE International Conference on Self-Adaptive and Self-Organizing Systems Workshops, Proceedings pp. 73-77. ISBN 978-1-4244-3468-8. doi:10.1109/SASOW.2008.68
Creese, Sadie, Hopkins, Paul, Pearson, Siani and Shen, Yun (2009) Data protection-aware design for cloud services. In: 1st International Conference on Cloud Computing (CloudCom 2009), Beijing, People's Republic of China, December 01-04, 2009. Published in: Lecture Notes in Computer Science, Vol.5931 pp. 119-130. ISBN 978-3-642-10664-4. doi:10.1007/978-3-642-10665-1_11 ISSN 0302-9743.
Cremona, J. E. (2008) Computing in component groups of elliptic curves. In: 8th International Symposium on Algorithmic Number Theory, Banff, Canada, May 17-22, 2008. Published in: Algorithmic number theory : 8th international symposium, ANTS-VIII, Banff, Canada, May 17-22, 2008 : proceedings (Lecture Notes in Computer Science), Vol.5011 pp. 118-124. ISBN 978-3-540-79455-4. doi:10.1007/978-3-540-79456-1_7 ISSN 0302-9743.
Cremona, John and Siksek, Samir (2006) Computing a lower bound for the canonical height on elliptic curves over Q. In: 7th International Symposium on Algorithmic Number Theory, Tech Univ Berlin, Berlin, GERMANY, 23-28 Jul 2006. Published in: Lecture Notes in Computer Science, Volume 4076 pp. 275-286. ISBN 3-540-36075-1. ISSN 0302-9743.
Cristea, Alexandra I. (2004) Adaptive course creation for all. In: International Conference on Information Technology: Coding and Computing (ITCC 2004), Las Vegas, US, 5-7 Apr 2004. Published in: International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004. , Volume 1 pp. 718-722. ISBN 0769521088. doi:10.1109/ITCC.2004.1286552
Cristea, Alexandra I. (2004) Authoring of adaptive and adaptable educational hypermedia : where are we now and where are we going? In: International Conference in Web-Based Education (WBE 2004), Innsbruck, Austria, 16-18 Feb 2004. Published in: Web-based Education (WBE 2004) ISBN 0889864063. ISSN 1482-7905.
Cristea, Alexandra I. (2007) Authoring of adaptive educational hypermedia. In: 7th IEEE International Conference on Advanced Learning Technologies, Niigata, Japan, 18-20 Jul 2007. Published in: Seventh IEEE International Conference on Advanced Learning Technologies, 2007. ICALT 2007. pp. 943-944. ISBN 9780769529165. doi:10.1109/ICALT.2007.78
Cristea, Alexandra I. (2003) Automatic authoring in the LAOS AHS authoring model. In: Workshop on Adaptive Hypermedia and Adaptive Web-Based Systems in the 14th ACM Conference on Hypertext and Hypermedia (HT 2003), Nottingham, UK
Cristea, Alexandra I. (2004) Evaluating adaptive hypermedia authoring while teaching adaptive systems. In: ACM Symposium on Applied Computing (SAC 2004), Nicosia, Cyprus, 14-17 Mar 2004. Published in: Proceedings of the 2004 ACM symposium on Applied computing pp. 929-934. ISBN 1581138121.
Cristea, Alexandra I. and Bra, Paul M. E. de (2002) ODL education environments based on adaptability and adaptivity. In: World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education (ELEARN 2002). Published in: Proceedings of World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education, Volume 2002 (Number 1). pp. 232-239. ISBN 9781880094464 .
Cristea, Alexandra I. and Burgos, D. (2006) Authoring adaptive hypermedia and IMS learning design : a possible understanding? In: 6th IEEE International Conference on Advanced Learning Technologies (ICALT 2006), Kerkrade, The Netherlands, 5-7 Jul 2006. Published in: Sixth International Conference on Advanced Learning Technologies, 2006. pp. 1190-1191. ISBN 0769526322.
Cristea, Alexandra I. and De Mooij, Arnout (2003) Adaptive course authoring : my online teacher. In: International Conference on Telecommunications (ICT 2003), Papeete, French Polynesia, 23 Feb - 1 Mar 2003. Published in: 10th International Conference on Telecommunications, 2003. ICT 2003. , Volume 2 pp. 1762-1769. ISBN 0780376617. doi:10.1109/ICTEL.2003.1191699
Cristea, Alexandra I. and De Mooij, Arnout (2003) Designer adaptation in adaptive hypermedia authoring. In: International Conference on Information Technology : Coding and Computing (ITCC 2003), Las Vegas, US, 28-30 Apr 2003. Published in: International Conference on Information Technology : Computers and Communication : proceedings : April 28-30, 2003, Las Vegas, Nevada pp. 444-448. ISBN 0769519164. doi:10.1109/ITCC.2003.1197570
Cristea, Alexandra I. and De Mooij, Arnout (2003) Evaluation of MOT, an AHS authoring tool : URD checklist and a special evaluation class. In: International Conference on Computers and Advanced Technology in Education, Rhodos, Greece, 30 Jun - 2 Jul 2003 pp. 241-246.
Cristea, Alexandra I. and De Mooij, Arnout (2003) LAOS : layered WWW AHS authoring model and their corresponding algebraic operators. In: 12th International World Wide Web Conference (WWW 2003), Budapest, Hungary, 20-24 May 2003
Cristea, Alexandra I., Floes, Davy, Stash, Natalia and Bra, Paul M. E. de (2003) MOT meets AHA! In: 12th International PEG Conference (PEG 2003), St. Petersburg, Russia, 28 Jun - 1st Jul 2003
Cristea, Alexandra I. and Garzotto, F. (2004) Designing patterns for adaptive or adaptable educational hypermedia : a taxonomy. In: World Conference on Educational Multimedia, Hypermedia and Telecommunications (ED-MEDIA 2004), Lugano, Switzerland pp. 808-813.
Cristea, Alexandra I. and Kinshuk, (2003) Considerations on LAOS, LAG and their integration in MOT. In: World Conference on Educational Multimedia, Hypermedia and Telecommunications (ED-MEDIA 2003), Hawaii, US pp. 511-518.
Cristea, Alexandra I. and Nejdl, W. (2006) Adaptive authoring of adaptive courses on the semantic desktop using malleable schemas. In: World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education (ELEARN 2006), Honolulu, Hawaii. Published in: Proceedings of World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education 2006 (Number 1). pp. 1920-1927. ISBN 9781880094600.
Cristea, Alexandra I. and Okamoto, Toshio (2001) Considering automatic educational validation of computerized educational systems. In: 2nd IEEE International Conference on Advanced Learning Technologies (ICALT 2001), Madison, USA, 6-8 Aug 2001. Published in: IEEE International Conference on Advanced Learning Technologies, 2001. Proceedings. pp. 415-417. doi:10.1109/ICALT.2001.943962
Cristea, Alexandra I., Okamoto, Toshio and Kayama, Mizue (2002) Considerations for building a common platform for cooperative and collaborative authoring environments. In: World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education (ELEARN 2002), Montreal, Canada. Published in: Proceedings of World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education, Volume 2002 (Number 1). pp. 224-231.
Cristea, Alexandra I., Smits, David and Bra, Paul M. E. de (2005) Writing MOT, Reading AHA! Converting between an authoring and a delivery system for adaptive educational hypermedia. In: International Workshop on Authoring of Adaptive & Adaptable Educational Hypermedia (A3EH 2005), Amsterdam, The Netherlands, 19 July 2005
Cristea, Alexandra I. and Stash, N. (2006) AWELS : adaptive web-based education and learning styles. In: 6th IEEE International Conference on Advanced Learning Technologies (ICALT 2006), Kerkrade, The Netherlands, 5-7 Jul 2006. Published in: Sixth International Conference on Advanced Learning Technologies, 2006. pp. 1135-1136. ISBN 0769526322.
Cristea, Alexandra I., Stewart, C., Ashman, H. and Cristea, P. (2005) Evaluation of adaptive hypermedia systems' conversion. In: 16th ACM Conference on Hypertext and Hypermedia, Salzburg, Austria. Published in: HYPERTEXT '05 Proceedings of the sixteenth ACM conference on Hypertext and hypermedia pp. 129-131. ISBN 1595931686. doi:10.1145/1083356.1083380
Cristea, Alexandra I., Stewart, Craig, Brailsford, Tim and Cristea, P. (2005) Evaluation of interoperability of adaptive hypermedia systems : testing the MOT to WHURLE conversion in a classroom setting. In: International Workshop on Authoring of Adaptive & Adaptable Educational Hypermedia (A3EH 2005), Amsterdam, Netherlands, 19 Jul 2005
Cristea, Alexandra I. and Verschoor, M. (2004) The LAG grammar for authoring the adaptive web. In: International Conference on Information Technology: Coding and Computing (ITCC 2004), Las Vegas, US, 5-7 Apr 2004. Published in: International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004. , Volume 1 pp. 382-386. ISBN 0769521088. doi:10.1109/ITCC.2004.1286484
Crosby, Henry James, Davis, Paul and Jarvis, Stephen A. (2015) Exploring new data sources to improve UK land parcel valuation. In: UrbanGIS, Seattle, 3 Nov 2015. Published in: UrbanGIS'15 Proceedings of the 1st International ACM SIGSPATIAL Workshop on Smart Cities and Urban Analytics (1). pp. 32-35. ISBN 9781450339735.
Cryan, Mary, Goldberg, Leslie Ann and Goldberg, Paul W. (1998) Evolutionary trees can be learned in polynomial time in the two-state general Markov model. In: 39th Annual Symposium on Foundations of Computer Science, Palo Alto, CA, 08-11 Nov 1998. Published in: 39th Annual Symposium on Foundations of Computer Science, 1998. Proceedings. pp. 436-445. ISBN 0818691727. ISSN 0272-5428.
Csernai, M., Ciucu, Florin, Braun, R. -P. and Gulyás, A. (2015) Towards 48-fold cabling complexity reduction in large flattened butterfly networks. In: IEEE Conference on Computer Communications (INFOCOM), Kowloon, 26 Apr- 1 May 2015. Published in: 2015 IEEE Conference on Computer Communications (INFOCOM) ISBN 9781479983810. doi:10.1109/INFOCOM.2015.7218373
Cunningham, Teddy (2022) Sharing and generating privacy-preserving spatio-temporal data using real-world knowledge. In: 23rd IEEE International Conference on Mobile Data Management (MDM), Paphos, Cyprus ; Online, 6-9 Jul 2022. Published in: 2022 23rd IEEE International Conference on Mobile Data Management (MDM) ISBN 9781665451765. doi:10.1109/MDM55031.2022.00074 ISSN 2375-0324.
Cunningham, Teddy, Cormode, Graham, Ferhatosmanoglu, Hakan and Srivastava, Divesh (2021) Real-world trajectory sharing with local differential privacy. In: VLDB Endowment, Virtual, 16-20 Aug 2021. Published in: Proceedings of the VLDB Endowment, 14 (11). pp. 2283-2295. doi:10.14778/3476249.3476280 ISSN 2150-8097.
Cygan, Marek, Czumaj, Artur, Mucha, Marcin and Sankowski, Piotr (2018) Online facility location with deletions. In: 26th Annual European Symposium on Algorithms (ESA 2018), Helsinki, Finland, 20-24 Aug 2018. Published in: {26th Annual European Symposium on Algorithms (ESA 2018), 112 21:1-21:15. ISBN 9783959770811. doi:10.4230/LIPIcs.ESA.2018.21 ISSN 1868-8969.
Czerwiński, Wojciech, Lasota, Slawomir, Lazic, Ranko, Leroux, Jerome and Mazowiecki, Filip (2020) Reachability in fixed dimension vector addition systems with states. In: 31st International Conference on Concurrency Theory (CONCUR 2020), Virtual conference, 01-04 Sep 2020. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 171 doi:10.4230/LIPIcs.CONCUR.2020.48 ISSN 1868-8969.
Czerwiński, Wojciech, Lasota, Sławomir, Lazic, Ranko, Leroux, Jerome and Mazowiecki, Filip (2019) The reachability problem for Petri nets is not elementary. In: STOC 2019, Phoenix, AZ, USA, 23-26 Jun 2019. Published in: Proceedings of the 51st Annual ACM SIGACT Symposium on Theory of Computing pp. 24-33. ISBN 9781450367059. doi:10.1145/3313276.3316369
Czumaj, Artur (2010) Local graph exploration and fast property testing. In: 16th Annual European Symposium on Algorithms (ESA 2010), Liverpool, England, 6-8 Sep 2010. Published in: Lecture Notes in Computer Science, Vol.6346 pp. 410-414. doi:10.1007/978-3-642-15775-2_35 ISSN 0302-9743.
Czumaj, Artur (2023) Modern parallel algorithms. In: 48th International Symposium on Mathematical Foundations of Computer Science (MFCS 2023). Published in: Leibniz International Proceedings in Informatics (LIPIcs), 272 3:1-3:2. doi:10.4230/LIPIcs.MFCS.2023.3 ISSN 1868-8969.
Czumaj, Artur, Adamaszek, Michał and Sohler, Christian (2010) Testing monotone continuous distributions on high-dimensional real cubes. In: 21st ACM-SIAM Symposium on Discrete Algorithms (SODA'10), Austin, Texas, 17-19 Jan 2010. Published in: SIAM pp. 56-65. doi:10.1007/978-3-642-16367-8_13
Czumaj, Artur and Davies, Peter (2018) Deterministic blind radio networks. In: 32nd International Symposium on Distributed Computing (DISC 2018), New Orleans, LA, 15-19 Oct 2018. Published in: 32nd International Symposium on Distributed Computing (DISC 2018), 121 15:1-15:17. ISBN 9783959770927. doi:10.4230/LIPIcs.DISC.2018.15 ISSN 1868-8969.
Czumaj, Artur, Davies, Peter and Parter, Merav (2021) Component stability in low-space massively parallel computation. In: 40th Annual ACM Symposium on Principles of Distributed Computing (PODC 2021), Virtual, Italy, 26-30 Jul 2021. Published in: PODC'21: Proceedings of the 2021 ACM Symposium on Principles of Distributed Computing pp. 481-491. ISBN 9781450385480. doi:10.1145/3465084.3467903
Czumaj, Artur, Davies, Peter and Parter, Merav (2020) Graph sparsification for derandomizing massively parallel computation with low space. In: 32nd ACM Symposium on Parallelism in Algorithms and Architectures (SPAA 2020), Virtual, USA, 15-17 Jul 2020. Published in: SPAA '20: Proceedings of the 32nd ACM Symposium on Parallelism in Algorithms and Architectures pp. 175-185. ISBN 9781450369350. doi:10.1145/3350755.3400282
Czumaj, Artur, Davies, Peter and Parter, Merav (2021) Improved deterministic (Δ + 1)-coloring in low-space MPC. In: 40th Annual ACM Symposium on Principles of Distributed Computing (PODC 2021), Virtual, Italy, 26-30 Jul 2021. Published in: PODC'21: Proceedings of the 2021 ACM Symposium on Principles of Distributed Computing pp. 469-479. ISBN 9781450385480. doi:10.1145/3465084.3467937
Czumaj, Artur, Davies, Peter and Parter, Merav (2020) Simple, deterministic, constant-round coloring in the congested clique. In: 39th Annual ACM Symposium on Principles of Distributed Computing (PODC 2020), Virtual conference, 3-7 Aug 2020. Published in: PODC '20: Proceedings of the 39th Symposium on Principles of Distributed Computing pp. 309-318. ISBN 9781450375825. doi:10.1145/3382734.3405751
Czumaj, Artur, Deligkas, Argyrios, Fasoulakis, Michail, Fearnley, John, Jurdzinski, Marcin and Savani, Rahul (2016) Distributed methods for computing approximate equilibria. In: International Conference on Web and Internet Economics, WINE 2016, Montréal, Canada, 11-14 Dec 2016. Published in: Web and Internet Economics. WINE 2016, 10123 pp. 15-28. ISBN 9783662541098. doi:10.1007/978-3-662-54110-4_2 ISSN 0302-9743.
Czumaj, Artur, Jiang, Shaofeng H-C., Krauthgamer, Robert, Vesely, Pavel and Yang, Mingwei (2022) Streaming facility location in high dimension via geometric hashing. In: 2022 IEEE 63rd Annual Symposium on Foundations of Computer Science (FOCS), Denver, CO, USA, 31 Oct - 03 Nov 2022. Published in: Proceedings of the 63rd IEEE Symposium on Foundations of Computer Science (FOCS 2022) ISBN 9781665455190. doi:10.1109/FOCS54457.2022.00050 ISSN 2575-8454.
Czumaj, Artur, Jiang, Shaofeng H.-C., Krauthgamer, Robert and Veselý, Pavel (2022) Streaming algorithms for geometric Steiner forest. In: 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022), Paris, France, 04-08 Jul 2022. Published in: Proceedings of the 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022) pp. 1-20. ISBN 9783959772358. doi:10.4230/LIPIcs.ICALP.2022.47 ISSN 1868-8969.
Czumaj, Artur and Konrad, Christian (2018) Detecting cliques in CONGEST networks. In: 32nd International Symposium on Distributed Computing (DISC 2018), New Orleans, LA, 15-19 Oct 2018. Published in: 32nd International Symposium on Distributed Computing (DISC 2018), 121 16:1-16:15. ISBN 9783959770927. doi:10.4230/LIPIcs.DISC.2018.16 ISSN 1868-8969.
Czumaj, Artur, Kontogeorgiou, George and Paterson, Michael S. (2021) Haystack hunting hints and locker room communication. In: 48th International Colloquium on Automata, Languages and Programming (ICALP 2021), Virtual, Scotland, 12-16 Jul 2021. Published in: 48th International Colloquium on Automata, Languages, and Programming (ICALP 2021), 198 58 : 1-58: 20. ISBN 9783959771955. doi:10.4230/LIPIcs.ICALP.2021.58 ISSN 1868-8969.
Czumaj, Artur and Lingas, Andrzej (2007) Finding a heaviest triangle is not harder than matrix multiplication. In: 18th ACM-SIAM Symposium on Discrete Algorithms, New Orleans, Los Angeles, 07-09 Jan 2007. Published in: Proceeding SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms pp. 986-994. ISBN 9780898716245.
Czumaj, Artur and Sohler, Christian (2007) On testable properties in bounded degree graphs. In: 18th ACM-SIAM Symposium on Discrete Algorithms, New Orleans, Los Angeles, 07-09 Jan 2007. Published in: Proceeding SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms pp. 494-501. ISBN 9780898716245.
Czumaj, Artur and Sohler, Christian (2010) Sublinear-time algorithms. In: Workshop on Property Testing, Tsinghua University, Peoples Republic of China. Published in: Property Testing : Current Research and Surveys, Vol.6390 pp. 41-64. doi:10.1007/978-3-642-16367-8_5 ISSN 0302-9743.
Czumaj, Artur and Sohler, Christian (2007) Sublinear-time approximation algorithms for clustering via random sampling. In: 12th International Conference on Random Structures and Algorithms, Poznan, Poland, 01-05 Aug 2005. Published in: Random Structures & Algorithms, Volume 30 (Number 1-2). pp. 226-256. doi:10.1002/rsa.20157 ISSN 1042-9832.
Czumaj, Artur and Sohler, Christian (2007) Testing expansion in bounded-degree graphs. In: 48th Annual IEEE Symposium on Foundations of Computer Science, Providence, RI, 20-23 Oct 2007. Published in: 48th Annual IEEE Symposium on Foundations of Computer Science, 2007. FOCS '07. pp. 570-578. ISBN 9780769530109. doi:10.1109/FOCS.2007.4389526
Czumaj, Artur and Sohler, Christian (2010) Testing expansion in bounded-degree graphs. In: Meeting on Combinatorics and Probability, Mathemat Res Inst, Oberwolfach, Germany, April 26-May 02, 2009. Published in: Combinatorics, Probability and Computing, Vol.19 (No.Special Issue 5-6). pp. 693-709. doi:10.1017/S096354831000012X ISSN 0963-5483.
Czumaj, Artur and Sohler, Christian (2020) A characterization of graph properties testable for general planar graphs with one-sided error (it's all about forbidden subgraphs). In: The 60th IEEE Symposium on Foundations of Computer Science (FOCS 2019), Baltimore, MD, 9-12 Nov 2019. Published in: 2019 IEEE 60th Annual Symposium on Foundations of Computer Science (FOCS) doi:10.1109/FOCS.2019.00091 ISSN 2575-8454.
Czumaj, Artur, Łącki, Jakub, Mądry, Aleksander, Mitrović, Slobodan, Onak, Krzysztof and Sankowski, Piotr (2018) Round compression for parallel matching algorithms. In: The 50th Annual ACM Symposium on Theory of Computing (STOC 2018), Los Angeles, 25-29 Jun 2018. Published in: STOC 2018 Proceedings of the 50th Annual ACM SIGACT Symposium on Theory of Computing pp. 471-484. ISBN 9781450355599 . doi:10.1145/3188745.3188764
Cámara-Menoyo, Carlos, Tripp, James and Turkay, Cagatay (2022) Quarto : a library to run them all? A collaborative exercise to use, learn and assess quarto for authoring reproducible documents in different scenarios. In: RSE Con22 - The 6th Annual Conference for Research Software Engineering, Newcastle Upon Tyne, UK, 7 Sep 2023 (Unpublished)
Dai, Emma and Bal, Jay (2009) Harmonising culture in co-operative business ventures: using a simulation in a metaverse. In: 3rd European Conference on Games Based Learning, FH Joanneum University of Applied Science, Graz, Austria, October 12-13, 2009. Published in: Proceedings of the 3rd European Conference on Games Based Learning pp. 412-420. ISBN 978-1-906638-47-4.
Dai, Zhihao, He, Ligang, Yang, Shuang-Hua and Leeke, Matthew (2023) Revealing ongoing sensor attacks in industrial control system via setpoint modification. In: 2023 IEEE Intl Conf on Dependable, Autonomic and Secure Computing, Intl Conf on Pervasive Intelligence and Computing, Intl Conf on Cloud and Big Data Computing, Intl Conf on Cyber Science and Technology Congress (DASC/PiCom/CBDCom/CyberSciTech), Abu Dhabi, United Arab Emirates, 14-17 Nov 2023 pp. 191-199. ISBN 9798350304602. doi:10.1109/DASC/PiCom/CBDCom/Cy59711.2023.10361334 ISSN 2837-0740.
Dasu, Vishnu Asutosh, Sarkar, Sumanta and Mandal, Kalikinkar (2022) PROV-FL : privacy-preserving round optimal verifiable federated learning. In: The 15th ACM Workshop on Artificial Intelligence and Security (AISec 2022), Los Angeles, U.S.A ; Hybrid, 11 Nov 2022. Published in: AISec'22: Proceedings of the 15th ACM Workshop on Artificial Intelligence and Security pp. 33-44. ISBN 9781450398800. doi:10.1145/3560830.3563729
Daviaud, Laure, Jurdzinski, Marcin and Lazic, Ranko (2018) A pseudo-quasi-polynomial algorithm for mean-payoff parity games. In: 33rd Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), Oxford, 9–12 Jul 2018. Published in: LICS '18: Proceedings of the 33rd Annual ACM/IEEE Symposium on Logic in Computer Science pp. 325-334. ISBN 9781450355834. doi:10.1145/3209108.3209162
Daviaud, Laure, Jurdzinski, Marcin, Lazic, Ranko, Mazowiecki, Filip, Pérez, Guillermo A. and Worell, James (2018) When is containment decidable for probabilistic automata? In: ICALP 2018: 45th International Colloquium on Automata, Languages, and Programming, Prague, Czech Republic, 9-13 Jul 2018. Published in: 45th International Colloquium on Automata, Languages, and Programming (ICALP 2018), 107 121:1-121:14. ISBN 9783959770767. doi:10.4230/LIPIcs.ICALP.2018.121
Daviaud, Laure, Jurdzinski, Marcin and Lehtinen, Karolina (2019) Alternating weak automata from universal trees. In: 30th International Conference on Concurrency Theory (CONCUR 2019) , Amsterdam, the Netherlands, 26-31 Aug 2019. Published in: 30th International Conference on Concurrency Theory (CONCUR 2019), 140 pp. 1-14. ISBN 9783959771214 . doi:10.4230/LIPIcs.CONCUR.2019.18
Davies, Eleanor and Kalvala, Sara (2020) Postcondition-preserving fusion of postorder tree transformations. In: CC 2020, San Diego, CA, 22–23 Feb 2020. Published in: Proceedings of the 29th International Conference on Compiler Construction (CC ’20) pp. 191-200. ISBN 9781450371209. doi:10.1145/3377555.3377884
Davies, Jonathan, Arana-Catania, Miguel and Procter, Rob (2022) Embedding digital participatory budgeting within local government : motivations, strategies and barriers faced. In: 15th International Conference on Theory and Practice of Electronic Governance (ICEGOV 2022), Guimarães, Portugal, 4-7 Oct 2022. Published in: ICEGOV '22: Proceedings of the 15th International Conference on Theory and Practice of Electronic Governance pp. 98-104. ISBN 9781450396356.
Davies, Jonathan, Arana-Catania, Miguel, Procter, Rob, van Lier, Felix-Anselm and He, Yulan (2021) Evaluating the application of NLP tools in mainstream participatory budgeting processes in Scotland. In: ICEGOV 2021: 14th International Conference on Theory and Practice of Electronic Governance, Athens, Greece, 6-8 Oct 2021. Published in: 14th International Conference on Theory and Practice of Electronic Governance pp. 362-366. ISBN 9781450390118. doi:10.1145/3494193.3494242
Davies, Ruth (2007) "See and treat" or "see" and "treat" in an emergency department. In: 2007 Winter Simulation Conference, Washington, DC, 09-12 Dec 2007. Published in: Proceedings of the 2007 Winter Simulation Conference pp. 1498-1501. ISBN 9781424413065. doi:10.1109/WSC.2007.4419765
Davies, Ruth (2006) Use of simulation to determine resource requirements for end-stage renal failure. In: 2006 Winter Simulation Conference, Monterey, California, 03-06 Dec 2006. Published in: Proceedings of the 2006 Winter Simulation Conference, Vols 1-5 pp. 473-477. ISBN 978-1-4244-0500-8. doi:10.1109/WSC.2006.323118
Daw, Michael, Procter, Rob, Lin, Yuwei, Hewitt, T., Jie, Wei, Voss, Alex, Baird, Kenny, Turner, A., Birkin, Mark, Miller, Ken, Dutton, William H., Jirotka, Marina, Schroeder, Ralph, de la Flor, Grace, Edwards, Pete, Allan, Rob, Yang, Xiaobo and Crouchley, Rob (2007) Developing an e-infrastructure for social science. In: 3rd International Conference on e-Social Science, Ann Arbor, Michigan, USA, 7-9 Oct 2007 pp. 1-7.
Degrossi, L. C., Albuquerque, João Porto de , Restrepo-Estrada, Camilo, Mobasheri, A. and Zipf, A. (2017) Exploring the geographical context for quality assessment of VGI in flood management domain. In: AMCIS 2017 : A Tradition of Innovation, Boston, MA, USA, 10-12 Aug 2017. Published in: Proceedings of the Americas Conference on Information Systems (AMCIS 2017) pp. 1-10. ISBN 9780996683142.
Degrossi, L. C., Albuquerque, João Porto de , dos Santos Rocha, Roberto and Zipf, A. (2017) A framework of quality assessment methods for crowdsourced geographic information : a systematic literature review. In: ISCRAM 2017, Albi, France, 21-24 May 2017. Published in: Proceedings of the 14th ISCRAM Conference
Deineko, Vladimir, Klinz, Bettina and Woeginger, Gerhard J. (2006) Four point conditions and exponential neighborhoods for symmetric TSP. In: 17th ACM-SIAM Symposium on Discrete Algorithms, Miami, Florida, Jan 2006. Published in: Proceedings of the Seventeenth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 544-553. ISBN 978-0-89871-605-4. doi:10.1145/1109557.1109617
Deineko, Vladimir G., O'Brien, Frances A. and Ridd, T. (2009) Group up to learn together: a system for equitable allocation of students to groups. In: 1st International Conference on Computer Supported Education, Lisbon, Portugal, March 23-26, 2009. Published in: CSEDU 2009 - Proceedings of the First International Conference on Computer Supported Education, Vol.1 pp. 140-145. ISBN 978-989-8111-82-1.
Demirci, Gunduz Vehbi and Ferhatosmanoglu, Hakan (2021) Partitioning sparse deep neural networks for scalable training and inference. In: 2021 International Conference on Supercomputing (ICS ’21), Virtual conference -USA, 14-17 Jun 2021. Published in: ICS '21: Proceedings of the ACM International Conference on Supercomputing pp. 254-265. doi:10.1145/3447818.3460372
Demri, Stephane, Lazic, Ranko and Nowak, David (2005) On the freeze quantifier in Constraint LTL : decidability and complexity. In: 12th International Symposium on Temporal Representation and Reasoning, Burlington, VT, 23-25 Jun 2005. Published in: Information and Computation, Volume 205 (Number 1). pp. 2-24. doi:10.1016/j.ic.2006.08.003 ISSN 0890-5401.
Derczynski, Leon, Bontcheva, Kalina, Liakata, Maria, Procter, Rob, Wong Sak Hoi , Geraldine and Zubiaga, Arkaitz (2017) SemEval-2017 Task 8 : RumourEval : Determining rumour veracity and support for rumours. In: 11th International Workshop on Semantic Evaluation (SemEval-2017), Vancouver, Canada , 3-4 Aug 2017. Published in: Proceedings of the 11th International Workshop on Semantic Evaluation (SemEval-2017) ISBN 9781945626555.
Derczynski, Leon, Bontcheva, Kalina, Lukasik, Michal, Declerck, Thierry, Scharl, Arno, Georgiev, Georgi, Osenova, Petya, Lobo, Toms Pariente, Kolliakou, Anna, Stewart, Robert, Terp, Sara-Jayne, Wong, Geraldine, Burger, Christian, Zubiaga, Arkaitz, Procter, Rob and Liakata, Maria (2014) PHEME : computing veracity : the fourth challenge of big social data. In: European Semantic Web Conference ESWC, Crete, 25-29 May 2014
Despotou, George, Jones, Richard W. and Arvanitis, Theodoros N. (2016) Using event trees to inform quantitative analysis of healthcare services. In: 14th International Conference on Informatics, Management and Technology in Healthcare, Athens, 1-3 Jul 2016. Published in: Studies in health technology and informatics, 226 pp. 119-122. doi:10.3233/978-1-61499-664-4-119 ISSN 0926-9630.
Despotou, George, Jones, Richard W., Katzis, Konstantinos, Bilici, Eda, Zhao, Lei, Lim Choi Keung, Sarah Niukyun and Arvanitis, Theodoros N. (2017) Implications and modelling of data quality on confidence of clinical decision support : a conceptual framework. In: 15th International Conference on Informatics, Management and Technology in Healthcare, Athens, Greece, 07-09 Jul 2017. Published in: Studies in Health Technology and Informatics, 238 (46847). pp. 140-143. ISBN 9781614997818.
Despotou, George, Matragkas, Nicholas and Arvanitis, Theodoros N. (2016) Converting text to structured models of healthcare services. In: 14th International Conference on Informatics, Management and Technology in Healthcare, Athens, 1-3 Jul 2016. Published in: Studies in health technology and informatics, 226 pp. 123-126. doi:10.3233/978-1-61499-664-4-123 ISSN 0926-9630.
Dhokia, Amar, Doukakis, Efstratios, Asadipour, Ali, Harvey, Carlo, Bashford-Rogers, Thomas, Debattista, Kurt, Waterfield, Brian and Chalmers, Alan (2016) A calibrated olfactory display for high fidelity virtual environments. In: Computer Graphics and Visual Computing (CGVC) ISBN 9783038680222.
Dicheva, Darina, Aroyo, Lora and Cristea, Alexandra I. (2002) Collaborative courseware authoring support. In: International Conference on Computers and Advanced Technology in Education. Published in: Proceedings of the IASTED International Conference, Computers and Advanced Technology in Education, May 20-22, 2002, Cancun, Mexico pp. 52-57. ISBN 0889863326.
Dickson, James, Maheswaran, Satheesh, Wright, Steven A., Herdman, J. A. and Jarvis, Stephen A. (2015) MINIO : an I/O benchmark for investigating high level parallel libraries. In: 27th ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis (SC’15), Austin, Texas, USA, 15-20 Nov 2015
Dickson, James, Wright, Steven A., Maheswaran, Satheesh, Herdman, J. A., Harris, Duncan, Miller, Mark C. and Jarvis, Stephen A. (2017) Enabling portable I/O analysis of commercially sensitive HPC applications through workload replication. In: Cray User Group 2017, Redmond, California, USA, 7-12 May 2017. Published in: Cray User Group 2017 Proceedings (CUG2017 Proceedings) pp. 1-14.
Dickson, James, Wright, Steven A., Maheswaran, Satheesh, Herdman, J. A., Miller, Mark C. and Jarvis, Stephen A. (2016) Replicating HPC I/O workloads with proxy applications. In: 1st Joint International Workshop on Parallel Data Storage & Data Intensive Scalable Computing Systems (PDSW-DISCS'16), Salt Lake City, Utah, USA, 14 Nov 2016. Published in: 2016 1st Joint International Workshop on Parallel Data Storage and data Intensive Scalable Computing Systems (PDSW-DISCS) ISBN 9781509052165.
Dillon, James, Mitchell, Philippa, Bull, Kate, Andrianakis, Ioannis, James, C. J., Glautier, Steve, O'Connor, Vincent and Holden-Dye, Lindy (2008) Concentration-dependent effects of acute and chronic ethanol on C. elegans micro-circuits and behaviour. In: Synaptic Function and Behaviour of C. Elegans Topic Meeting #2, University of Wisconsin, Madison, WI, U.S.A., July, 2008. Published in: Synaptic Function and Behaviour of C. Elegans Topic Meeting #2, Poster Abstracts p. 81.
Dimovski, Aleksandar and Lazic, Ranko (2006) Assume-guarantee software verification based on game semantics. In: 8th International Conference on Formal Engineering Methods (ICFEM 2006), Macao, China, 01-03 Nov 2006. Published in: Formal Methods and Software Engineering, Proceedings, Volume 4260 pp. 529-548. ISBN 3-540-47460-9. ISSN 0302-9743.
Ding, Xiaohan, Ding, Guiguang, Guo, Yuchen, Han, Jungong and Yan, Chenggang (2019) Approximated oracle filter pruning for destructive CNN width optimization. In: ICML 2019 ; 36th International Conference on Machine Learning, California, 10-15 Jun 2019. Published in: Proceedings of the 36th International Conference on Machine Learning
Dinh, Le Cong, Zemhoho, Alain B. and Tran-Thanh, Long (2021) Last round convergence and no-dynamic regret in asymmetric repeated games. In: The 32nd International Conference on Algorithmic Learning Theory, Virtual, 16-19 Mar 2021. Published in: Proceedings of the 32nd International Conference on Algorithmic Learning Theory, 132 pp. 553-577.
Dinur, Irit, Goldreich, Oded and Gur, Tom (2019) Every set in P is strongly testable under a suitable encoding. In: 10th Innovations in Theoretical Computer Science Conference (ITCS 2019). Published in: 10th Innovations in Theoretical Computer Science Conference (ITCS 2019), 124 30:1-30:17. ISBN 9783959770958. doi:10.4230/LIPIcs.ITCS.2019.30 ISSN 1868-8969.
Dixon, Alex and Lazic, Ranko (2019) KReach : a tool for reachability in petri nets. In: TACAS 2020 : 26th International Conference on Tools and Algorithms for the Construction and Analysis of Systems, Dublin, Ireland, 25-30 Apr 2020. Published in: Tools and Algorithms for the Construction and Analysis of Systems. TACAS 2020. , 12078 pp. 405-412. ISBN 9783030451899. doi:10.1007/978-3-030-45190-5_22
Dixon, Alex, Lazic, Ranko, Murawski, Andrzej S. and Walukiewicz, Igor (2021) Leafy automata for higher-order concurrency. In: FoSSaCS 21 , Virtual conference, 27 Mar-1 Apr 2021. Published in: FOSSACS 2021: Foundations of Software Science and Computation Structures , 12650 pp. 184-204. ISBN 9783030719944. doi:10.1007/978-3-030-71995-1_10
Donnelly, James, Daneshkhah, Alireza and Abolfathi, Soroush (2023) Physics-informed neural networks for statistical emulation of hydrodynamical numerical models. In: EGU General Assembly 2023, Vienna, Austria, 23–28 Apr 2023 doi:10.5194/egusphere-egu23-5445
Dougrez-Lewis, John, Kochkina, Elena, Arana-Catania, Miguel, Liakata, Maria and He, Yulan (2022) PHEMEPlus : enriching social media rumour verification with external evidence. In: The Fifth Workshop on Fact Extraction and VERification (FEVER), Hybrid: Dublin, Ireland + Online, 26 May 2022. Published in: Proceedings of the Fifth Workshop on Fact Extraction and VERification (FEVER) pp. 49-58. ISBN 9781952148026. doi:10.18653/v1/2022.fever-1.6
Dougrez-Lewis, John, Liakata, Maria, Kochkina, Elena and He, Yulan (2021) Learning disentangled latent topics for Twitter rumour veracity classification. In: 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing (ACL-IJCNLP 2021), Bangkok, Thailand, 1-6 Aug 2021. Published in: Proceedings of 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing (ACL-IJCNLP 2021)
Du, Jiachen, Xu, Ruifeng, He, Yulan and Gui, Lin (2017) Stance classification with target-specific neural attention networks. In: Twenty-Sixth International Joint Conference on Artificial Intelligence (IJCAI), Melbourne, 19-25 Aug 2017. Published in: Proceedings of the Twenty-Sixth International Joint Conference on Artificial Intelligence (IJCAI) pp. 3988-3994. ISBN 9780999241103. doi:10.24963/ijcai.2017/557
Du, Liang, Tan, Jingang, Xue, Xiangyang, Chen, Lili, Wen, Hongkai, Feng, Jianfeng, Li, Jiamao and Zhang, Xiaolin (2020) 3DCFS : Fast and robust joint 3D semantic-instance segmentation via coupled feature selection. In: IEEE International Conference on Robotics and Automaion, Paris, France, 31 May - 4 Jun 2020. Published in: 2020 IEEE International Conference on Robotics and Automation (ICRA) ISBN 9781728173962. doi:10.1109/ICRA40945.2020.9197242 ISSN 1050-4729.
Dubla, Piotr, Chalmers, Alan and Debattista, Kurt (2008) An analysis of cache awareness for interactive selective rendering. In: 16th International Conference in Central Europe on Computer Graphics, Visualization and Computer Vision, University of W Bohemia, Plzen, Czech Republic, 04-07 Feb 2008. Published in: Journal of WSCG, Volume 16 (Numbers 1-3). pp. 95-102. ISBN 978-80-86943-16-9. ISSN 1213 – 6972.
Dutta, Pranjal, Gesmundo, Fulvio, Ikenmeyer, Christian, Jindal, Gorav and Lysikov, Vladimir (2024) Fixed-parameter debordering of Waring rank. In: 41st International Symposium on Theoretical Aspects of Computer Science (STACS 2024), Clermont-Ferrand, France, 12-14 Mar 2024. Published in: Leibniz International Proceedings in Informatics (LIPIcs) ISSN 1868-8969. (In Press)
Dutta, Pranjal, Gesmundo, Fulvio, Ikenmeyer, Christian, Jindal, Gorav and Lysikov, Vladimir (2024) Homogeneous algebraic complexity theory and algebraic formulas. In: 15th Innovations in Theoretical Computer Science Conference (ITCS 2024), Berkeley, CA, USA, 30 Jan - 2 Feb 2024. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 287 43:1-43:23. ISBN 9783959773096. doi:10.4230/LIPIcs.ITCS.2024.43 ISSN 1868-8969.
Dutta, Pranjal, Ikenmeyer, Christian, Komarath, Balagopal, Mittal, Harshil, Nanoti, Saraswati and Thakkar, Dhara (2024) On the power of border width-2 ABPs over fields of characteristic 2. In: 41st International Symposium on Theoretical Aspects of Computer Science (STACS 2024), Clermont-Ferrand, France, 12-14 Mar 2024. Published in: Leibniz International Proceedings in Informatics (LIPIcs) ISSN 1868-8969. (In Press)
Dvořák, Zdeněk, Králʼ, Daniel and Mohar, Bojan (2017) Graphic TSP in cubic graphs. In: 34th International Symposium on Theoretical Aspects of Computer Science, Hannover, Germany, 8-11 Mar 2017. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 66 ISBN 9783959770286. ISSN 1868-8969.
Dyer, Martin, Goldberg, Leslie Ann and Jerrum, Mark (2006) Dobrushin conditions and systematic scan. In: 9th International Workshop on Approximation Algorithms for Combinatorial Optimization Problems/10th International Workshop on Randomization and Computation, Barcelona, Spain, 28-30 Aug 2006. Published in: Lecture Notes in Computer Science, Volume 4110 pp. 327-338. ISBN 3-540-38044-2. ISSN 0302-9743.
Dyer, Martin, Goldberg, Leslie Ann and Paterson, Michael S. (2006) On counting homomorphisms to directed acyclic graphs. In: 33rd International Colloquium on Automata, Languages and Programming, Venice, Italy, 10-14 Jul 2006. Published in: Lecture Notes in Computer Science, Volume 4051 pp. 38-49. ISBN 3-540-35904-4. doi:10.1007/11786986_5 ISSN 0302-9743.
Eckle, Melanie, Albuquerque, João Porto de , Herfort, Benjamin, Wolff, Rüdiger, Zipf, Alexander, Leiner, Richard and Jacobs, Clemens (2016) Leveraging OpenStreetMap to support flood risk management in municipalities : a prototype decision support system. In: 13th International Conference on Information Systems for Crisis Response and Management ISCRAM 2016, Rio de Janeiro, Brazil, 22-25 May 2016
Efthymiou, Charilaos (2022) On sampling symmetric Gibbs distributions on sparse random graphs and hypergraphs. In: 49th EATCS International Colloquium on Automata, Languages and Programming (ICALP), Paris, 4-8 Jul 2022. Published in: 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022), 229 57:1-57:16. ISBN 9783959772358. doi:10.4230/LIPIcs.ICALP.2022.57 ISSN 1868-8969.
Efthymiou, Charilaos, Hayes, Thomas P., Štefankovič, Daniel and Vigoda, Eric (2023) Optimal mixing via tensorization for random independent sets on arbitrary trees. In: Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques (APPROX/RANDOM 2023), Atlanta, USA, 11-13 Sep 2023. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 275 33:1-33:16. doi:10.4230/LIPIcs.APPROX/RANDOM.2023.33 ISSN 1868-8969.
Eiben, Eduard, Majumdar, Diptapriyo and Ramanujan, M. S. (2023) Finding a highly connected Steiner subgraph and its applications. In: 48th International Symposium on Mathematical Foundations of Computer Science (MFCS 2023). Published in: Leibniz International Proceedings in Informatics (LIPIcs), 272 45:1-45:15. doi:10.4230/LIPIcs.MFCS.2023.45 ISSN 1868-8969.
Ekanayake, Suneth D., Reguly, Istvan Z., Luporini, Fabio and Mudalige, Gihan R. (2023) Communication-avoiding optimizations for large-scale unstructured-mesh applications with OP2. In: International Conference on Parallel Processing (ICPP) , Utah, USA, 07-10 Aug 2023. Published in: Proceedings of 52nd International Conference on Parallel Processing (ICPP 2023) pp. 380-391. ISBN 9798400708435. doi:10.1145/3605573.3605604
Emsley, Iain (2023) Data walking in the unheard city : sampling infrastructured devices with mobile apps. In: Critical Infrastructure Lab, Amsterdam, Netherlands, 13-14 Apr 2023
Englert, Matthias, Gupta, Anupam (Researcher in Computer Science), Krauthgamer, Robert, Raecke, Harald, Talgam-Cohen, Inbal and Talwar, Kunal (2010) Vertex sparsifiers : new results from old techniques. In: 13th International Workshop on Approximation Algorithms for Combinatorial Optimization Problems (APPROX 2010)/14th International Workshop on Randomization and Computation (RANDOM 2010), Univ Politecnica Catalunya (UPC), Barcelona, Spain, 01-03 Sep 2010 doi:10.1007/978-3-642-15369-3_12
Englert, Matthias, Lazic, Ranko and Totzke, Patrick (2016) Reachability in two-dimensional unary vector addition systems with states is NL-complete. In: Thirty-First Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), New York City, USA, 5–8 Jul 2016. Published in: Proceedings of the 31st Annual ACM/IEEE Symposium on Logic in Computer Science (LICS)
Englert, Matthias, Matsakis, Nicolaos and Mucha, Marcin (2014) New bounds for online packing LPs. In: Latin American Theoretical INformatics (LATIN) 2014, Montevideo, Uruguay , 31 Mar- 4 Apr 2014. Published in: Lecture Notes in Computer Science series pp. 1-12. doi:10.1007/978-3-642-54423-1_28
Englert, Matthias, Matsakis, Nicolaos and Veselý, Pavel (2023) Approximation guarantees for shortest superstrings : simpler and better. In: 34th International Symposium on Algorithms and Computation (ISAAC 2023), Kyoto, Japan, 3–6 Dec 2023. Published in: Proceedings of the 34th International Symposium on Algorithms and Computation (ISAAC 2023) , 286 29:1-29:17. doi:10.4230/LIPIcs.ISAAC.2023.29 (In Press)
Englert, Matthias, Roglin, H. and Vocking, B. (2006) Worst case and probabilistic analysis of the 2-opt algorithm for the TSP. In: Electronic Colloquium on Computational Complexity
Englert, Matthias and Räcke, Harald (2009) Oblivious routing for the Lp-norm. In: 50th Annual IEEE Symposium on Foundations of Computer Science, Atlanta, GA, October 25-27 2009. Published in: 2009 50th Annual IEEE Symposium on Foundations of Computer Science pp. 32-40. ISBN 9780769538501. doi:10.1109/FOCS.2009.52 ISSN 0272-5428.
Englert, Matthias and Räcke, Harald (2017) Reordering buffers with logarithmic diameter dependency for trees. In: 28th ACM-SIAM Symposium on Discrete Algorithms, Barcelona, Spain, 16-19 Jan 2017. Published in: SODA '17 Proceedings of the Twenty-Eighth Annual ACM-SIAM Symposium on Discrete Algorithms 1224-1234 . ISBN 9781611974782. doi:10.1137/1.9781611974782.79
Englert, Matthias, Räcke, Harald and Stotz, Richard (2020) Polylogarithmic guarantees for generalized reordering buffer management. In: FOCS 2019 60th Annual IEEE Symposium on Foundations of Computer Science , , Baltimore, Maryland, 9-12 Nov 2019. Published in: 2019 IEEE 60th Annual Symposium on Foundations of Computer Science (FOCS) doi:10.1109/FOCS.2019.00012 ISSN 2575-8454.
Englert, Matthias, Räcke, Harald and Westermann, Matthias (2007) Reordering buffers for general metric spaces. In: ACM symposium on theory of computing. Published in: STOC '07 Proceedings of the thirty-ninth annual ACM symposium on Theory of computing pp. 556-564. ISBN 9781595936318. doi:10.1145/1250790.1250871
Englert, Matthias, Röglin, Heiko, Spönemann, Jacob and Vöcking, Berthold (2009) Economical caching. In: 26th International Symposium on Theoretical Aspects of Computer Science, Freiburg, Germany, Feb 2009. Published in: Leibniz International Proceedings in Informatics (LIPIcs), Volume 3 pp. 385-396. ISBN 9783939897095. doi:10.1007/978-3-642-04944-6_15 ISSN 1868-8969.
Englert, Matthias, Röglin, Heiko and Vöcking, Berthold (2007) Worst case and probabilistic analysis of the 2-opt algorithm for the TSP. In: Eighteenth annual ACM-SIAM symposium on Discrete algorithm, New Orleans, Louisiana, 7-9 Jan 2007. Published in: Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms pp. 1295-1304. ISBN 9780898716245.
Englert, Matthias and Westermann, Matthias (2007) Considering suppressed packets improves buffer management in QoS switches. In: ACM-SIAM symposium on Discrete algorithms, 7-9 Jan 2007, New Orleans, Louisiana. Published in: ACM-SIAM symposium on Discrete algorithms pp. 209-218. doi:10.1145/1283383.1283406
Englert, Matthias, Özmen, Deniz and Westermann, Matthias (2008) The power of reordering for online minimum makespan scheduling. In: 49th Annual IEEE Symposium on Foundations of Computer Science, Philadelphia, PA, 25-28 Oct 2008. Published in: Symposium on Foundations of Computer Science. Annual Proceedings pp. 603-612. doi:10.1109/FOCS.2008.46 ISSN 1523-8288.
Eravci, Bahaeddin, Bulut, Neslihan, Etemoglu, Cagri and Ferhatosmanoglu, Hakan (2016) Location recommendations for new businesses using check-in data. In: 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW), Barcelona, Spain, 12-15 Dec 2016. Published in: 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW) pp. 1110-1117. doi:10.1109/ICDMW.2016.0160 ISSN 2375-9259.
Eser, Elif, Kocayusufoglu, Furkan, Eravci, Bahaeddin, Ferhatosmanoglu, Hakan and Larriba-Pey, Josep L. (2016) Generating time-varying road network data using sparse trajectories. In: 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW), Barcelona, Spain, 12-15 Dec 2016. Published in: 2016 IEEE 16th International Conference on Data Mining Workshops (ICDMW) pp. 1118-1124. doi:10.1109/ICDMW.2016.0161 ISSN 2375-9259.
Evans, M., Beynon, Meurig and Fischer, Carlos N. (2001) Empirical modelling for the logistics of rework in the manufacturing process. In: 16th Brazilian Congress of Mechanical Engineering, Minas Gerais, Brazil pp. 226-234.
Fahmy, Suhaib A. (2018) Design abstraction for autonomous adaptive hardware systems on FPGAs. In: NASA/ESA Conference on Adaptive Hardware and Systems, Edinburgh, UK, 6–9 Aug 2018. Published in: 2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) doi:10.1109/AHS.2018.8541489 ISSN 2471-769X.
Fahmy, Suhaib A., Vipin, Kizheppatt and Shreejith, Shanker (2015) Virtualized FPGA accelerators for efficient cloud computing. In: IEEE International Conference on Cloud Computing Technology and Science (CloudCom), Vancouver, Canada, 30 Nov - 3 Dec 2015 pp. 430-435. doi:10.1109/CloudCom.2015.60
(2022) An international technical standard for commercial space system cybersecurity - a call to action. In: AIAA Ascend 2022, Las Vegas, 24-26 Oct 2022. Published in: AIAA 2022-4302 doi:10.2514/6.2022-4302
Fan, Chuang, Yuan, Chaofa, Du, Jiachen, Gui, Lin, Yang, Min and Xu, Ruifeng (2020) Transition-based directed graph construction for emotion-cause pair extraction. In: 2020 Annual Conference of the Association for Computational Linguistics, 5-10 Jul 2020 . Published in: Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics pp. 3707-3717. doi:10.18653/v1/2020.acl-main.342
Fang, Zheng, He, Yulan and Procter, Rob (2021) A query-driven topic model. In: The Joint Conference of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing (ACL-IJCNLP 2021), Bangkok, Thailand, 1-6 Aug 2021. Published in: Findings of the Association for Computational Linguistics: ACL-IJCNLP 2021 pp. 1764-1777. doi:10.18653/v1/2021.findings-acl.154
Farrell, Marie, Bradbury, Matthew S., Fisher, Michael, Dennis, Louise A., Dixon, Claire, Yuan, Hu and Maple, Carsten (2019) Using threat analysis techniques to guide formal verification : a case study of cooperative awareness messages. In: SEFM 2019: 17th edition of the International Conference on Software Engineering and Formal Methods, Oslo, Norway, 16-20 Sep 2020. Published in: Software Engineering and Formal Methods. SEFM 2019, 11724 pp. 471-490. ISBN 9783030304454. doi:10.1007/978-3-030-30446-1_25
Fearnley, John, Jurdzinski, Marcin and Savani, Rahul (2010) Linear complementarity algorithms for infinite games. In: 36th Conference on Current Trends in Theory and Practice of Computer Science, Spindleruv Mlyn, Czech Republic, January 23-29, 2010. Published in: Lecture Notes in Computer Science, Vol.5901 pp. 382-393. ISBN 978-3-642-11265-2. doi:10.1007/978-3-642-11266-9 ISSN 0302-9743.
Fijalkow, Nathanael, Gimbert, Hugo, Kelmendi, Edon and Kuperberg, Denis (2017) Stamina : stabilisation nonoids in automata theory. In: 22nd International Conference Implementation and Application of Automata, 27-30 Jun 2017, Université Paris-Est Marne-la-Vallée. Published in: Implementation and Application of Automata ISBN 9783319601335. doi:10.1007/978-3-319-60134-2_9 ISSN 0302-9743.
Fischer, Carlos N. and Beynon, Meurig (2001) Empirical modelling of products. In: International Conference on Simulation and Multimedia in Engineering Education, Phoenix, Arizona, 7-11 Jan 2001 pp. 20-26.
Flores Armas, Denys and Jhumka, Arshad (2019) Hybrid logical clocks for database forensics : filling the gap between chain of custody and database auditing. In: 18th IEEE International Conference on Trust, Security and Privacy in Computing and Communications , Rotorua, New Zealand, 5-8 Aug 2019. Published in: 2019 18th IEEE International Conference On Trust, Security And Privacy In Computing And Communications/13th IEEE International Conference On Big Data Science And Engineering (TrustCom/BigDataSE) ISBN 9781728127774. doi:10.1109/TrustCom/BigDataSE.2019.00038
Flores Armas, Denys and Jhumka, Arshad (2017) Implementing chain of custody requirements in database audit records for forensic purposes. In: The 16th IEEE International Conference on Trust, Security and Privacy in Computing and Communications (IEEE TrustCom-17), Sydney, Australia, 1-4 Aug 2017. Published in: Trustcom/BigDataSE/ICESS, 2017 IEEE ISBN 9781509049066. ISSN 2324-9013.
Foley, Brian Patrick, Spooner, Daniel P., Isitt, P. J., Jarvis, Stephen A. and Nudd, G. R. (2005) Performance prediction for a code with data-dependent runtimes. In: UK e-Science All Hands Conference : Innovating through e-Science, Nottingham, UK, 19-22 Sep 2005
Fomin, Fedor V., Lokshtanov, Daniel, Misra, Neeldhara, Ramanujan, Maadapuzhi Sridharan and Saurabh, Saket (2015) Solving d-SAT via backdoors to small Treewidth. In: Proceedings of the Twenty-Sixth Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2015, San Diego, CA, USA, January 4-6, 2015, San Diego, USA, 4–6 Jan 2015. Published in: Twenty-Sixth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 630-641. ISBN 9781611973747. doi:10.1137/1.9781611973730.43
Foss, Jonathan G. K. and Cristea, Alexandra I. (2009) Adaptive hypermedia content authoring using MOT3.0. In: 7th International Workshop on Authoring of Adaptive and Adaptable Hypermedia, Nice, France, 29 Sep - 02 Oct 2009
Foss, Jonathan G. K. and Cristea, Alexandra I. (2011) Two competing approaches in Authoring Adaptive Hypermedia : MOT versus GAT. In: Hypertext 2011, Eindhoven, The Netherlands, 6-9 June 2011
Foss, Jonathan G. K. and Cristea, Alexandra I. (2010) The next generation authoring adaptive hypermedia : using and evaluating the MOT3.0 and PEAL tools. In: HT '10 21st ACM Conference on Hypertext and Hypermedia, Toronto, ON, Canada, 13-16 Jun 2010 . Published in: HT '10 Proceedings of the 21st ACM conference on Hypertext and hypermedia pp. 83-92. ISBN 9781450300414. doi:10.1145/1810617.1810633
Foss, Jonathan G. K., Cristea, Alexandra I. and Hendrix, Maurice (2010) Continuous use of authoring for adaptive educational hypermedia : a long-term case study. In: IEEE International Conference on Advanced Learning Technologies (ICALT 2010), Sousse, Tunisia, 5-7 Jul 2010. Published in: ICALT 2010 : 10th IEEE International Conference on Advanced Learning Technologies pp. 194-196. ISBN 9781424471447. doi:10.1109/ICALT.2010.59
Fowler, Daniel S. and Maple, Carsten (2022) Affected vehicle population in automotive cyber risk assessments. In: Competitive Advantage in the Digital Economy CADE 2022, Venice, Italy, 13-15 Jun 2022. Published in: Competitive Advantage in the Digital Economy (CADE 2022) pp. 11-16. ISBN 9781839537424. doi:10.1049/icp.2022.2025
Franks, Henry P. W., Griffiths, Nathan and Jhumka, Arshad (2010) Image scoring in ad-hoc networks : an investigation on realistic settings. In: 8th European Workshop on Multi-Agent Systems, Paris, France, 16-17 Dec 2010 (Unpublished)
Freiling, F. C. and Jhumka, Arshad (2007) Global predicate detection in distributed systems with small faults. In: 9th International Symposium on Stabilisation, Safety and Security of Distributed Systems, Paris, France, 14-16 Nov 2007 . Published in: Proceedings of the 9th International Symposium on Stabilisation, Safety and Security of Distributed Systems pp. 296-310. doi:10.1007/978-3-540-76627-8_23
Gaboardi, Marco, Nissim, Kobbi and Purser, David (2020) The complexity of verifying loop-free programs as differentially private. In: 47th International Colloquium on Automata, Languages and Programming, 08-11 Jul 2020 doi:10.4230/LIPIcs.ICALP.2020.129
Gajarsky, Jakub, Hlineny, Petr , Lokshtanov, Daniel , Obdrálek, Jan, Ordyniak, Sebastian, Ramanujan, Maadapuzhi Sridharan and Saurabh, Saket (2015) FO model checking on posets of bounded width. In: IEEE 56th Annual Symposium on Foundations of Computer Science, FOCS 2015, Berkeley, CA, USA, 17-20 October, 2015, Berkeley, CA, USA, 17-20 Oct 2015. Published in: 2015 IEEE 56th Annual Symposium on Foundations of Computer Science (FOCS) pp. 963-974. ISBN 9781467381918. doi:10.1109/FOCS.2015.63 ISSN 0272-5428.
(2022) Making person-centred health care beneficial for people with Mild Cognitive Impairment (MCI) or mild dementia – results of interviews with patients and their informal caregivers. In: International Conference on Computers Helping People with Special Needs (ICCHP-AAATE 2022), Lecco, Italy, 11-15 Jul 2022. Published in: Lecture Notes in Computer Science, 13341 pp. 468-474. ISBN 9783031086489. doi:10.1007/978-3-031-08648-9_54 ISSN 1611-3349.
Gardner, J. W., Apostolidou, A., Cole, Marina, Dowson, Christopher G., Edmunds, S. and Sehra, G. (2007) Towards a low-cost optical iriosensor system for biomedical immunoassay applications. In: 5th IASTED International Conference on Biomedical Engineering, Innsbruck, Austria, 14-16 Feb 2007. Published in: Proceedings of the Fifth IASTED International Conference on Biomedical Engineering pp. 277-282. ISBN 9780889866485.
Garzotto, F. and Cristea, Alexandra I. (2004) ADAPT major design dimensions for educational adaptive hypermedia. In: World Conference on Educational Multimedia, Hypermedia and Telecommunications (EDMEDIA 2004), Lugano, Switzerland pp. 1334-1339.
Gascon, Adrià, Tiwari, Ashish, Carmer, Brent and Mathur, Umang (2017) Look for the proof to find the program : decorated-component-based program synthesis. In: Computer Aided Verification - 29th International Conference, CAV 2017, Proceedings, Part II, Heidelberg, Germany, 24-28 Jul 2017, 10427 pp. 86-103. ISBN 9783319633893. doi:10.1007/978-3-319-63390-9 ISSN 0302-9743.
Gaudin, W., Mallinson, Andrew C., Perks, O. F. J., Herdman, J. A., Beckingsale, David A., Levesque, J., Boulton, M., McIntosh-Smith, S. and Jarvis, Stephen A. (2014) Optimising hydrodynamics applications for the Cray XC30 with the application tool suite. In: Cray User Group 2014, Lugano, Switzerland, 5-8 May 2014. Published in: CUG2014 Final Proceedings pp. 4-8.
Gay, S. J. and Nagarajan, Rajagopal (2005) Communicating quantum processes. In: 32nd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Long Beach, CA, 12-14 Jan 2005. Published in: ACM SIGPLAN Notices, Volume 40 (Number 1). pp. 145-157. ISSN 0362-1340.
Gay, Simon J. and Nagarajan, Rajagopal (2006) Types and typechecking for communicating quantum processes. In: 2nd International Workshop on Quantum Programming Languages (QPL 2004), Turku, Finland, 12-13 July, 2004 doi:10.1017/S0960129506005263
Gay, Simon J., Nagarajan, Rajagopal and Papanikolaou, Nikolaos K. (2008) QMC : a model checker for quantum systems. In: 20th International Conference on Computer Aided Verification, Princeton, USA, 7-14 Jul 2008. Published in: CAV '08 Proceedings of the 20th international conference on Computer Aided Verification, Vol.5123 pp. 543-547. doi:10.1007/978-3-540-70545-1_51 ISSN 9783540705437 .
Geraghty, Jack, Li, Jiazheng, Ragano, Alessandro and Hines, Andrew (2022) AQP : an open modular Python platform for objective speech and audio quality metrics. In: MMSys '22: 13th ACM Multimedia Systems Conference, Athlone, Ireland, 14-17 Jun 2022. Published in: MMSys '22: Proceedings of the 13th ACM Multimedia Systems Conference pp. 191-196. doi:10.1145/3524273.3532885
Ghalebikesabi, Sahra, Wilde, Harrison, Jewson, Jack, Doucet, Arnaud, Vollmer, Sebastian and Holmes, Chris (2022) Mitigating statistical bias within differentially private synthetic data. In: Thirty-Eighth Conference on Uncertainty in Artificial Intelligence, Eindhoven, The Netherlands, 01-05 Aug 2022. Published in: Proceedings of the Thirty-Eighth Conference on Uncertainty in Artificial Intelligence, 180 pp. 696-705.
Ghali, Fawaz and Cristea, Alexandra I. (2009) Authoring for E-Learning 2.0: a case study. In: IEEE International Conference on Advanced Learning Technologies, Riga, Latvia, July 15-17, 2009. Published in: ICALT: 2009 IEEE International Conference on Advanced Learning Technologies pp. 170-174. ISBN 978-1-4244-4482-3. doi:10.1109/ICALT.2009.71
Ghali, Fawaz and Cristea, Alexandra I. (2008) Evaluation of interoperability between MOT and regular learning management systems. In: 3rd European Conference on Technology Enhanced Learning (EC-TEL 2008), Maastricht, Netherlands, Sep 16-19, 2008. Published in: Times of convergence : technologies across learning contexts ; Third European Conference on Technology Enhanced Learning, EC-TEL 2008, Maastricht, the Netherlands, September 16-19, 2008 ; proceedings, Volume 5192 pp. 104-109. ISBN 978-3-540-87604-5. doi:10.1007/978-3-540-87605-2_12 ISSN 0302-9743.
Ghali, Fawaz and Cristea, Alexandra I. (2008) Interoperability between MOT and learning management systems : converting CAF to IMS QTI and IMS CP. In: 5th International Conference on Adaptive Hypermedia and Adaptive Web-Based Systems, Hannover, Germany, Jul 29-Aug 01, 2008. Published in: Adaptive hypermedia and adaptive web-based systems : 5th international conference, AH 2008 : Hannover, Germany, July 29-August 1, 2008 : proceedings , Volume 5149 pp. 296-299. ISBN 978-3-540-70984-8. doi:10.1007/978-3-540-70987-9_38 ISSN 0302-9743.
Ghali, Fawaz and Cristea, Alexandra I. (2009) MOT 2.0 : a case study on the usefuleness of social modeling for personalized e-learning systems. In: 14th International Conference on Artificial Intelligence in Education (AIED 2009), Brighton, England, July 06-10, 2009. Published in: Frontiers in Artificial Intelligence and Applications, Vol.200 pp. 333-340. ISBN 978-1-60750-028-5. doi:10.3233/978-1-60750-028-5-333 ISSN 0922-6389.
Ghali, Fawaz and Cristea, Alexandra I. (2009) Social reference model for adaptive web learning. In: 8th International Conference on Web Based Learning (ICWL 2009), Aachen, Germany, AUG 19-21, 2009. Published in: Lecture Notes in Computer Science, Vol.5686 pp. 162-171. ISBN 978-3-642-03425-1. doi:10.1007/978-3-642-03426-8 ISSN 0302-9743.
Ghali, Fawaz, Cristea, Alexandra I. and Stewart, Craig (2008) My online teacher 2.0. In: IGACLE Workshop, 3rd European Conference on Technology Enhanced Learning (ECTEL 2008), Maastricht, Netherlands, 17 Sep 2008 (Unpublished)
Ghosh, Arijit, Mishra, Gopinath, Raychaudhury, Rahul and Sen, Sayantan (2022) Tolerant bipartiteness testing in dense graphs. In: The 49th EATCS International Colloquium on Automata, Languages and Programming (ICALP), Paris, France, 4-8 Jul 2022. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 229 69:1-69:19. ISBN 9783959772358. doi:10.4230/LIPIcs.ICALP.2022 ISSN 1868-8969.
Gibson, Jon, Tekiner, Firat, Halfpenny, Peter, Nazroo, James Y., Fagan, Colette, Procter, Rob and Lin, Yuwei (2007) NCeSS Project : Data mining for social scientists. In: 3rd International Conference on e-Social Science, Ann Arbor, Michigan, USA, 7-9 Oct 2007. Published in: Proceedings of the Third International Conference on e-Social Science pp. 1-8.
Gkotsis, George, Stepanyan, Karen, Cristea, Alexandra I. and Joy, Mike (2013) Self-supervised automated wrapper generation for weblog data extraction. In: 29th British National Conference on Databases, BNCOD 2013, Oxford, 8-10 July, 2013. Published in: Big data, Volume 7968 pp. 292-302. ISBN 9783642394669. doi:10.1007/978-3-642-39467-6_26 ISSN 0302-9743.
Gkotsis, George, Stepanyan, Karen, Cristea, Alexandra I. and Joy, Mike (2013) Zero-cost labelling with web feeds for weblog data extraction. In: 23rd International World Wide Web Conference (WWW 2013), Rio de Janeiro, Brazil, 13-17 May 2013. Published in: WWW '13 Companion : Proceedings of the 22nd international conference on World Wide Web companion pp. 73-74. ISBN 9781450320382.
Glendinning, Irene and Low, M. J. (2010) Collaborative initiatives for promoting computer science in Secondary Schools. In: Teaching Fundamental Concepts of Informatics: 4th International Conference on Informatics in Secondary Schools, Zurich, Switzerland, 13-16 Jan 2010. Published in: Teaching Fundamentals Concepts of Informatics, Lecture Notes in Computer Science (LTNCS), 5941 pp. 100-111. ISBN 9783642113758. doi:10.1007/978-3-642-11376-5_10
Godtschalk , A. S. and Ciucu, Florin (2016) Randomized load balancing in finite regimes. In: ICDCS 2016 : IEEE International Conference on Distributed Computing Systems , Nara, Japan, 27-30 Jun 2016. Published in: 2016 IEEE 36th International Conference on Distributed Computing Systems (ICDCS) doi:10.1109/ICDCS.2016.97 ISSN 1063-6927.
Goel, Aarushi, Hall-Andersen, Mathias, Kaptchuk, Gabriel and Spooner, Nicholas (2023) Speed-stacking : fast sublinear zero-knowledge proofs for disjunctions. In: 42nd Annual International Conference on the Theory and Applications of Cryptographic Techniques, Lyon, France, 23–27 Apr 2023. Published in: Advances in Cryptology – EUROCRYPT 2023, 14005 pp. 347-378. ISBN 9783031306167. doi:10.1007/978-3-031-30617-4_12 ISSN 0302-9743.
Goldberg, Halley, Kabanets, Valentine, Lu, Zhenjian and Oliveira, Igor C. (2022) Probabilistic Kolmogorov complexity with applications to average-case complexity. In: Computational Complexity Conference (CCC), Philadelphia, PA, USA, 21–23 Jul 2022. Published in: 37th Computational Complexity Conference (CCC 2022), 234 16:1-16:60. ISBN 9783959772419. doi:10.4230/LIPIcs.CCC.2022.16 ISSN 1868-8969.
Goldberg, Leslie Ann and Jerrum, Mark (1997) Randomly sampling molecules. In: 8th Annual ACM/SIAM Symposium on Discrete Algorithms, New Orleans, LA, 05-07 Jan 1997. Published in: Proceeding SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms pp. 183-192. ISBN 0898713900.
Goldberg, Leslie Ann and MacKenzie, Phil (1997) Contention resolution with guaranteed constant expected delay. In: 38th Annual Symposium on Foundations of Computer Science, Miami Beach, FL, 20-22 Oct 1997. Published in: 38th Annual Symposium on Foundations of Computer Science, 1997. Proceedings. pp. 213-222. ISBN 0818681977. ISSN 0272-5428.
Goldberg, Leslie Ann, Paterson, Michael S., Srinivasan, Aravind and Sweedyk, Elizabeth (1997) Better approximation guarantees for job-shop scheduling. In: 8th Annual ACM/SIAM Symposium on Discrete Algorithms, New Orleans, LA, 05-07 Jan 1997. Published in: SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms pp. 599-608. ISBN 0898713900.
Goldreich, Oded, Gur, Tom and Komargodski , Ilan (2015) Strong locally testable codes with relaxed local decoders. In: 30th Conference on Computational Complexity (CCC’15). Published in: 30th Conference on Computational Complexity (CCC 2015), 33 pp. 1-41. doi:10.4230/LIPIcs.CCC.2015.1
Goldreich, Oded, Gur, Tom and Rothblum, Ron D. (2015) Proofs of proximity for context-free languages and read-once branching programs. In: The 42nd International Colloquium on Automata, Languages, and Programming (ICALP 2015), Kyoto, Japan, 6-10 Jul 2015. Published in: Automata, Languages, and Programming. ICALP 2015, 9134 pp. 666-677. ISBN 9783662476710. doi:10.1007/978-3-662-47672-7_54 ISSN 0302-9743.
Goller, Stefan, Haase, Christoph, Lazic, Ranko and Totzke, Patrick (2016) A polynomial-time algorithm for reachability in branching VASS in dimension one. In: 43rd International Colloquium on Automata, Languages, and Programming (ICALP 2016), Rome, Italy, 12-15 Jul 2016. Published in: Leibniz International Proceedings in Informatics (LIPIcs) ISBN 9783959770132. doi:10.4230/LIPIcs.ICALP.2016.105 ISSN 1868-8969.
Golonev, Alexander, Gur, Tom and Shinkar, Igor (2023) Derandomization of cell sampling. In: SIAM Symposium on Simplicity in Algorithms (SOSA23), Florence, Italy, 23-25 Jan 2023. Published in: Proceedings of the SIAM Symposium on Simplicity in Algorithms (SOSA23) (In Press)
Goyal, Ankit, Kumar, Naveen, Guha, Tanaya and Narayanan, Shrikanth S. (2016) A multimodal mixture-of-experts model for dynamic emotion prediction in movies. In: 2016 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Shanghai, China, 20-25 March 2016 pp. 2822-2826. ISBN 9781479999880. doi:10.1109/ICASSP.2016.7472192 ISSN 2379-190X.
Grandi, Umberto, Kanesh, Lawqueen, Lisowski, Grzegorz, Ramanujan, Maadapuzhi Sridharan and Turrini, Paolo (2023) Identifying and eliminating majority illusion in social networks. In: The 37th AAAI Conference on Artificial Intelligence, Washington, DC, USA, 07-14 Feb 2023. Published in: Proceedings of the AAAI Conference on Artificial Intelligence, 37 (In Press)
Green, Roger, Higgins, Matthew D., Joshi, Harita and Leeson, Mark S. (2008) Bandwidth extension for optical wireless receiver-amplifiers. In: 10th International Conference on Transparent Optical Networks, Athens, Greece, Jun 22-26, 2008. Published in: Proceedings of 2008 10th International Conference on Transparent Optical Networks, Volume 4 pp. 201-204. ISBN ISBN: 978-1-4244-2625-6 . doi:10.1109/ICTON.2008.4598768
Gregor, Petr, Jager, Sven, Mutze, Torsten, Sawada, Joe and Wille, Kaja (2018) Gray codes and symmetric chains. In: 45th International Colloquium on Automata, Languages, and Programming (ICALP), Prague, 9-13 Jul 2018. Published in: Proceedings of the 45th International Colloquium on Automata, Languages, and Programming (ICALP), 107 66:1-66:14. ISBN 9783959770767. ISSN 1868-8969.
Gregor, Petr, Merino, Arturo and Mutze, Torsten (2022) The Hamilton compression of highly symmetric graphs. In: 47th International Symposium on Mathematical Foundations of Computer Science (MFCS 2022), Vienna, Austria, 22—26 Aug 2022, 241 54:1-54:14. ISBN 9783959772563. doi:10.4230/LIPIcs.MFCS.2022.54 ISSN 1868-8969.
Gregor, Petr, Micka, Ondrej and Mutze, Torsten (2020) On the central levels problem. In: 47th International Colloquium on Automata, Languages, and Programming, Saarbrücken, 8-11 Jul 2020. Published in: 47th International Colloquium on Automata, Languages, and Programming (ICALP 2020), 168 60:1-60:17. ISBN 9783959771382. doi:10.4230/LIPIcs.ICALP.2020.60 ISSN 1868-8969.
Gregor, Petr, Mutze, Torsten and Merino, Arturo (2022) Star transposition Gray codes for multiset permutations. In: 39th International Symposium on Theoretical Aspects of Computer Science (STACS 2022), Marseille, 15-18 Mar 2022. Published in: Proceedings of STACS 2022, 219 34:1-34:14. ISBN 9783959772228. doi:10.4230/LIPIcs.CVIT.2016.23 ISSN 1868-8969.
Griffiths, Nathan (2005) Cooperative clans. In: Workshop of IEEE Systems, Man and Cybernetics United Kingdom and Ireland, Reading, UK, Sep 2003. Published in: Kybernetes, Volume 34 (Number 9-10). pp. 1384-1403. doi:10.1108/03684920510614722 ISSN 0368-492X.
Griffiths, Nathan (2006) Enhancing peer-to-peer collaboration using trust. In: 9th International Conference on Computer Supported Cooperative Work in Design, Coventry, England, 24-26 May 2005. Published in: Expert Systems with Applications, Volume 31 (Number 4). pp. 849-858. doi:10.1016/j.eswa.2006.01.017 ISSN 0957-4174.
Griffiths, Nathan (2008) Tags and image scoring for robust cooperation. In: AAMAS08 7th International Conference on Autonomous Agents and Multi Agent Systems, Estoril, Portugal, 12-16 May 2008. Published in: AAMAS '08 Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems, Volume 2 pp. 575-582. ISBN 9780981738116.
Griffiths, Nathan (2006) A fuzzy approach to reasoning with trust, distrust and insufficient trust. In: 10th International Workshop on Cooperative Information Agents, Edinburgh, Scotland, 11-13 Sep 2006. Published in: Proceedings of the Cooperative Information Agents X, Volume 4149 pp. 360-374. ISBN 354038569X. ISSN 0302-9743.
Griffiths, Nathan, Jhumka, Arshad, Dawson, A. (Anthony) and Myers, Richard (2008) A simple trust model for on-demand routing in mobile ad-hoc networks. In: 2nd International Symposium on Intelligent and Distributed Computing (IDC 2008), Univ Catania, Catania, Italy, Sep 18-19 2008. Published in: Studies in Computational Intelligence, Volume 162 pp. 105-114. ISBN 9783540852568. doi:10.1007/978-3-540-85257-5_11 ISSN 1860-949X.
Griffiths, Nathan and Joy, Mike (2003) An integrated approach to courseware. In: 6th World Federation of Engineering Organizations (WFEO) and 2nd American Society for Engineering Education (ASEE) Electronic Conference, Porto, Portugal, 7-10 Sep 2003. Published in: Proceedings of the 2003 WFEO/ASEE e-Conference
Griffiths, Nathan and Luck, Michael (Michael M.) (2010) Changing neighbours : improving tag-based cooperation. In: 9th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2010), Toronto, Canada, 10–14 May 2010. Published in: Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems pp. 249-256.
Griffiths, Nathan and Luck, Michael (Michael M.) (2010) Norm emergence in tag-based cooperation. In: 8th European Workshop on Multi-Agent Systems, Paris, France, 16-17 Dec 2010 (Unpublished)
Griffiths, Nathan and Luck, Michael (Michael M.) (2010) Norm emergence in tag-based cooperation. In: 9th International Workshop on Coordination, Organization, Institutions and Norms in Multi-Agent Systems (COIN10), Toronto, Canada, 10-14 May 2010 pp. 80-87.
Griffiths, Nathan and Sun, S. (2005) Supporting peer-to-peer collaboration through trust. In: 9th International Conference on Computer Supported Cooperative Work in Design, Coventry, 24-26 May 2005. Published in: Proceedings of the Ninth International Conference on Computer Supported Cooperative Work in Design, Volume 1 and 2 pp. 440-445. ISBN 1846000025.
Grosso, Jasmine, Jhumka, Arshad and Bradbury, Matthew S. (2019) Reliable many-to-many routing in wireless sensor networks using ant colony optimisation. In: European Dependable Computing Conference, Naples, Italy, 17-20 Sep 2019. Published in: 2019 15th European Dependable Computing Conference (EDCC) ISBN 9781728139296. doi:10.1109/EDCC.2019.00030
Gu, Chen, Bradbury, Matthew S. and Jhumka, Arshad (2017) Phantom walkabouts in wireless sensor networks. In: 32nd ACM SIGAPP Symposium On Applied Computing, Marrakech, Morocco, 3-7 April 2017. Published in: Proceedings of the Symposium on Applied Computing pp. 609-616. ISBN 9781450344869.
Gu, Chen, Bradbury, Matthew S., Jhumka, Arshad and Leeke, Matthew (2015) Assessing the performance of phantom routing on source location privacy in wireless sensor networks. In: 2015 IEEE 21st Pacific Rim International Symposium on Dependable Computing (PRDC), Zhangjiajie, China, 18-20 Nov 2015. Published in: 2015 IEEE 21st Pacific Rim International Symposium on Dependable Computing (PRDC) pp. 99-108. ISBN 9781467393751. doi:10.1109/PRDC.2015.9
Guan, Yu, Li, Chang-Tsun and Choudhury, Sruti Das (2013) Robust gait recognition from extremely low frame-rate videos. In: 2013 International Workshop on Biometrics and Forensics (IWBF), Lisbon, Portugal, 4-5 Apr 2013 pp. 1-4. ISBN 9781467349871. doi:10.1109/IWBF.2013.6547319
Guan, Yu, Li, Chang-Tsun and Hu, Yongjian (2012) Random subspace method for gait recognition. In: IEEE International Conference on Multimedia and Expo Workshops (ICMEW), Melbourne, Australia, 9-13 Jul 2012 . Published in: Proceedings of the 2012 international conference on multimedia and expo workshops pp. 284-289. ISBN 9780769547299. doi:10.1109/ICMEW.2012.55
Gucsi, Balint, Tarapore, Danesh S., Yeoh, William, Amato, Christopher and Tran-Thanh, Long (2020) To ask or not to ask : a user annoyance aware preference elicitation framework for social robots. In: International Conference on Intelligent Robots and Systems (IROS 2020), Las Vegas, Nevada, USA, 24-29 Oct 2020. Published in: 2020 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS) ISBN 9781728162133. doi:10.1109/IROS45743.2020.9341607 ISSN 2153-0866.
Gulpinar, Nalan, Harrison, Pete and Rustem, Berç (2006) Worst-case analysis of router networks with rival queueing models. In: 21st International Symposium on Computer and Information Sciences (ISCIS 2006), Istanbul, TURKEY, NOV 01-03, 2006. Published in: Computer and Information Sciences - ISCIS 2006, Proceedings, 4263 pp. 897-907. ISBN 3-540-47242-8. ISSN 0302-9743.
Guo, Yue, Chakrapani Rao, Arun and Jones, R. Peter (2008) Architectural and functional modelling of an automotive driver information system using SysML. In: IEEE/ASME International Conference on Mechatronic and Embedded Systems and Applications, Beijing, China, Oct 12-15, 2008. Published in: Proceedings of the 2008 IEEE/ASME international Conference on Mechatronic and Embedded Systems and Applications pp. 552-557. ISBN 9781424423675.
Guo, Yue and Jones, R. Peter (2009) A study of approaches for model based development of an automotive driver information system. In: 3rd Annual IEEE Systems Conference 2009, Vancouver, Canada, March 23-26, 2009. Published in: 2009 IEEE International Systems Conference, Proceedings pp. 267-272. ISBN 978-1-4244-3462-6. doi:10.1109/SYSTEMS.2009.4815810
Gupta, Neha, Crosby, Henry James, Purser, David, Jarvis, Stephen A. and Guo, Weisi (2018) Twitter usage across industry : a spatiotemporal analysis. In: 2018 IEEE Fourth International Conference on Big Data Computing Service and Applications, Bamberg, Germany, 26-29 Mar 2018 . Published in: 2018 IEEE Fourth International Conference on Big Data Computing Service and Applications (BigDataService) ISBN 9781538651193. doi:10.1109/BigDataService.2018.00018
Gur, Tom and Lachish, Oded (2020) On the power of relaxed local decoding algorithms. In: 31st ACM-SIAM Symposium on Discrete Algorithms (SODA20), Salt Lake City, Utah, U.S., 5-8 Jan 2020. Published in: Proceedings of ACM-SIAM Symposium on Discrete Algorithms pp. 1377-1394. doi:10.5555/3381089.3381172
Gur, Tom, Lifshitz, Noam and Liu, Siqi (2022) Hypercontractivity on high dimensional expanders. In: The 54th ACM Symposium on Theory of Computing (STOC 2022), Rome, Italy, 20-24 Jun 2022. Published in: STOC 2022 : Proceedings of the 54th Annual ACM SIGACT Symposium on Theory of Computing pp. 176-184. ISBN 9781450392648. doi:10.1145/3519935.3520004
Gur, Tom and Rothblum, Ron D. (2017) A hierarchy theorem for interactive proofs of proximity. In: 8th Innovations in Theoretical Computer Science Conference (ITCS 2017). Published in: 8th Innovations in Theoretical Computer Science Conference (ITCS 2017), 67 39:1-39:43. ISBN 9783959770293. doi:10.4230/LIPIcs.ITCS.2017.39 ISSN 1868-8969.
Gurcan, M. N., Madabhushi, A. and Rajpoot, Nasir M. (Nasir Mahmood) (2010) Pattern recognition in histopathological images : an ICPR 2010 contest. In: International Conference on Pattern Recognition (ICPR 2010), Istanbul, Turkey, 23-26 August, 2010 doi:10.1007/978-3-642-17711-8_23
Halaburda, Hanna, Levina, Natalia and Semi, Min (2019) Understanding smart contracts as a new option in transaction cost economics. In: Fortieth International Conference on Information Systems, Munich, Germany, 15-18 Dec 2020. Published in: ICIS 2019 Proceedings ISBN 9780996683197.
Hamid, Kanza, Asi, Amina, Abbasi, Wajid Arshad, Sabih, Durre and Minhas, Fayyaz ul Amir Afsar (2018) Machine learning with abstention for automated liver disease diagnosis. In: 2017 International Conference on Frontiers of Information Technology (FIT), Islamabad, Pakistan, 18-20 Dec 2017. Published in: 2017 International Conference on Frontiers of Information Technology (FIT) ISBN 9781538635674. doi:10.1109/FIT.2017.00070
Hammond, Simon D., Mudalige, Gihan R., Smith, J. A., Davis, James A., Jarvis, Stephen A., Holt, J., Miller, I., Herdman, J. A. and Vadgama, A. (2010) To upgrade or not to upgrade? : Catamount vs. Cray Linux environment. In: Large Scale Parallel Processing Workshop 2010 (LSPP10), Atlanta, Georgia, USA, 19-23 Apr 2010. Published in: 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW) pp. 1-8. ISBN 9781424465330. doi:10.1109/IPDPSW.2010.5470885
Hammond, Simon D., Mudalige, Gihan R., Smith, J. A. and Jarvis, Stephen A. (2009) Performance prediction and procurement in practice : assessing the suitability of commodity cluster components for wavefront codes. In: 24th UK Performance Engineering Workshop (UKPEW 2008), London, UK, 3-4 Jul 2008. Published in: 24th UK Performance Engineering Workshop 3–4 July 2008 ISBN 9780955970306. doi:10.1049/iet-sen.2009.0007
Hammond, Simon D., Mudalige, Gihan R., Smith, J. A., Jarvis, Stephen A., Herdman, J. A. and Vadgama, A. (2009) WARPP : a toolkit for simulating high performance parallel scientific codes. In: 2nd International Conference on Simulation Tools and Techniques (SIMUTools09), Rome, Italy, 2-6 Mar 2009. Published in: SIMUTools '09 2nd International Conference on Simulation Tools and Techniques Article no. 19. doi:10.4108/ICST.SIMUTOOLS2009.5753 ISSN 9789639799455.
Hammond, Simon D., Smith, J. A., Mudalige, Gihan R. and Jarvis, Stephen A. (2009) Predictive simulation of HPC applications. In: 23rd International Conference on Advanced Information Networking and Applications Workshops, Bradford, England, 26-29 May 2009. Published in: 2009 International Conference on Advanced Information Networking and Applications pp. 33-40. ISBN 9781424440009. doi:10.1109/AINA.2009.95 ISSN 1550-445X.
Harrenstein, Paul, Turrini, Paolo and Wooldridge, Michael (2015) Electric Boolean games : redistribution schemes for resource-bounded agents. In: AAMAS '15 Proceedings of the 2015 International Conference on Autonomous Agents and Multiagent Systems, Istanbul, Turkey, 4-8 May 2015. Published in: Proceedings of the 2015 International Conference on Autonomous Agents and Multiagent Systems pp. 655-663. ISBN 9781450334136.
Harrenstein, Paul, Turrini, Paolo and Wooldridge, Michael (2014) Hard and soft equilibria in Boolean games. In: AAMAS '14 Proceedings of the 2014 international conference on Autonomous agents and multi-agent systems, Paris, France, 5-9 May 2014. Published in: Proceedings of the 2014 international conference on Autonomous agents and multi-agent systems pp. 845-852. ISBN 9781450327381.
Hart, William B. (2010) Fast library for number theory : an introduction. In: 3rd International Congress on Mathematical Software, Kobe, Japan, 13-17 Sep 2010 . Published in: Lecture Notes in Computer Science, Volume 6327 pp. 88-91. doi:10.1111/j.1365-2230.2010.03913.x ISSN 0302-9743.
Hartswood, Mark, Procter, Rob, Schopf, J. M., Slack, Roger, Ure, Jenny, Voss, Alex and Lin, Yuwei (2006) Abstractions, accounts and grid usability. In: 2nd International Conference on e-Social Science, Manchester, UK, 28-30 Jun 2006. Published in: Proceedings of 2nd International Conference on e-social science pp. 1-12.
Hartswood, Mark, Voss, Alex, Procter, Rob and Williams, Robin (2001) Pick-n-mix approaches to technology supply : XML as a standard “glue” linking universalised locals. In: 6th EURAS Workshop on ‘Standards, Compatibility and Infrastructure Development', Delft, Netherlands, 28-29 Jun 2001. Published in: Proceedings of the 6th EURAS Workshop on Standards, Compatibility and Infrastructure Development pp. 117-135.
Hartung, Elizabeth, Hoang, Hung, Mutze, Torsten and Williams, Aaron (2020) Combinatorial generation via permutation languages. In: 31st Annual ACM-SIAM Symposium on Discrete Algorithms, Salt Lake City, United States, 5-8 Jan 2020. Published in: SODA '20: Proceedings of the Thirty-First Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1214-1225. doi:10.5555/3381089.3381163
Hassan, Fahad and Minhas, Fayyaz ul Amir Afsar (2017) Deep and self-taught learning for protein accessible surface area prediction. In: 2017 International Conference on Frontiers of Information Technology (FIT), Islamabad, Pakistan, 18-20 Dec 2017. Published in: 2017 International Conference on Frontiers of Information Technology (FIT) pp. 264-269. ISBN 9781538635674. doi:10.1109/FIT.2017.00054
Hayes-McCoy, Declan, Xi, Jiang and Lockerby, Duncan A. (2007) Direct computation of zero-net-mass-flux synthetic jets. In: 5th IASME/WSEAS International Conference on Fluid Mechanics and Aerodynamics, Vouliagmeni, Greece, 25-27 Aug 2007. Published in: Proceedings of the 5th IASME/WSEAS International Conference On Fluid Mechanics And Aerodynamics (FMA '07) pp. 217-222. ISBN 9789608457997.
He, Hongmei, Watson, Tim, Maple, Carsten, Mehnen, Jorn and Ashutosh, Tiwari (2017) A new semantic attribute deep learning with a linguistic attribute hierarchy for spam detection. In: IJCNN 2017 : International Joint Conference on Neural Networks, Anchorage, Alaska, 14-19 May 2017 pp. 1-8. (Submitted)
He, Ligang, Calleja, Mark, Hayes, Mark and Jarvis, Stephen A. (2009) Performance prediction for running workflows under role-based authorization mechanisms. In: 23rd IEEE International Symposium on Parallel and Distributed Processing, Rome, Italy, 23-29 May 2009. Published in: 2009 IEEE International Symposium on Parallel and Distributed Processing pp. 2767-2774. ISBN 9781424437511. doi:10.1109/IPDPS.2009.5161190 ISSN 1530-2075.
He, Ligang, Duan, Kewei, Chen, Xueguang, Zou, Deqing, Han, Zongfen, Fadavinia, Ali and Jarvis, Stephen A. (2011) Modelling workflow executions under role-based authorisation control. In: 8th IEEE International Conference on Services Computing (ICSC'11), Washington DC, USA, 4-9 July 2011. Published in: 2011 IEEE International Conference on Services Computing (SCC) pp. 200-208. ISBN 9781457708633. doi:10.1109/HiPC.2011.6152727
He, Ligang, Huang, Chenlin, Li, Kenli, Chen, Hao, Sun, Jianhua, Gao, Bo, Duan, Kewei and Jarvis, Stephen A. (2011) Modelling and analyzing the authorization and execution of video workflows. In: 18th International Conference on High Performance Computing, HiPC 2011, Bangalore, India, 18-21 Dec 2011. Published in: 18th International Conference on High Performance Computing, HiPC 2011 pp. 1-10. doi:10.1109/HiPC.2011.6152727 ISSN 9781457719516.
He, Ligang, Jarvis, Stephen A., Spooner, Daniel P., Bacigalupo, David A., Tan, G. and Nudd, G. R. (2005) Mapping DAG-based applications to multiclusters with background workload. In: 5th IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2005), Cardiff, Wales, 09-12 May 2005. Published in: IEEE International Symposium on Cluster Computing and the Grid, 2005. CCGrid 2005. , Volume 2 pp. 855-862. ISBN 0780390741. doi:10.1109/CCGRID.2005.1558651
He, Ligang, Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2003) Dynamic scheduling of parallel real-time jobs by modelling spare capabilities in heterogeneous clusters. In: IEEE International Conference on Cluster Computing, Hong Kong, China, 01-04 Dec 2003. Published in: 2003 IEEE International Conference on Cluster Computing, 2003. Proceedings. pp. 2-10. ISBN 0769520669. doi:10.1109/CLUSTR.2003.1253293
He, Ligang, Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2004) Optimising static workload allocation in multiclusters. In: Proceedings of the 18th IEEE International Parallel and Distributed Processing Symposium (IPDPS'04), Santa Fe, New Mexico, USA, 26-30 Apr 2004. Published in: 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings. ISBN 0769521320.
He, Ligang, Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2006) Performance evaluation of scheduling applications with DAG topologies on multiclusters with independent local schedulers. In: 5th International Workshop on Performance Modelling, Evaluation and Optimization of Parallel and Distributed Systems (PMEO'06), Rhodes Island, Greece, 25-29 Apr 2006. Published in: 20th International Parallel and Distributed Processing Symposium, 2006. IPDPS 2006. ISBN 1424400546. doi:10.1109/IPDPS.2006.1639635
He, Ligang, Xue, James Wenjun and Jarvis, Stephen A. (2007) Partition-based profit optimisation for multi-class requests in clusters of servers. In: IEEE International Conference on e-Business Engineering, Hong Kong, China, 24-26 Oct 2007. Published in: IEEE International Conference on e-Business Engineering, 2007. ICEBE 2007. pp. 131-138. ISBN 9780769530031. doi:10.1109/ICEBE.2007.71
He, Ligang, Zou, Deqing, Zhang, Zhang, Jin, Hai, Yang, Kai and Jarvis, Stephen A. (2011) Optimizing resource consumptions in clouds. In: 12th IEEE/ACM International Conference on Grid Computing, Lyon, France, 21-23 Sept 2011. Published in: 12th IEEE/ACM International Conference on Grid Computing (GRID) pp. 42-49. ISBN 9781457719042. doi:10.1109/Grid.2011.15 ISSN 1550-5510.
He, Xi, Cormode, Graham, Machanavajjhala, Ashwin, Procopiuc, Cecilia and Srivastava, Divesh (2015) DPT : differentially private trajectory synthesis using hierarchical reference systems. In: 41st International Conference on Very Large Data Bases, Hawaii, 31 Aug - 4 Sep 2015. Published in: Proceedings of the VLDB Endowment, 8 (11). pp. 1154-1165.
Hehir, J., Ting, D. and Cormode, Graham (2023) Sketch-flip-merge : mergeable sketches for private distinct counting. In: Fortieth International Conference on Machine Learning (ICML) 2023, Hawaii , 23-29 Jul 2023. Published in: Proceedings of the 40 th International Conference on Machine Learning, 202 pp. 12846-12865. (In Press)
Hendrix, Maurice and Cristea, Alexandra I. (2009) Design of the CAM model and authoring tool. In: 7th International Workshop on Authoring of Adaptive and Adaptable Hypermedia (A3H 2009), Nice, France, 29 Sep - 02 Oct 2009 (Unpublished)
Hendrix, Maurice and Cristea, Alexandra I. (2007) Evaluating adaptive authoring of adaptive hypermedia. In: 5th Adaptive and Adaptable Educational Hypermedia Workshop at the User Modelling (UM) 2007 conference, Corfu, Greece, 25 Jun 2007 (Unpublished)
Hendrix, Maurice and Cristea, Alexandra I. (2008) A meta level to LAG for adaptation language re-use. In: 6th International Workshop on Authoring of Adaptive and Adaptable Hypermedia Workshop (A3H 2008), Hannover, Germany, 29 Jul - 1 Aug 2008 (Unpublished)
Hendrix, Maurice, Cristea, Alexandra I. and Joy, Mike (2007) Evaluating the automatic and manual creation process of adaptive lessons. In: 7th IEEE International Conference on Advanced Learning Technologies, Niigata, Japan, 18-20 Jul 2007. Published in: 7th IEEE International Conference on Advanced Learning Technologies, Proceedings pp. 442-446. ISBN 9780769529165. doi:10.1109/ICALT.2007.141
Hendrix, Maurice, Cristea, Alexandra I. and Nejdl, W. (2006) Authoring adaptive learning material on the semantic desktop. In: International Workshop on Authoring of Adaptive and Adaptable Hypermedia (A3H 2006), Dublin, Ireland, 20 Jun 2006
Hendrix, Maurice, Cristea, Alexandra I. and Stewart, Craig (2009) Adaptation languages for learning: the CAM meta-model. In: IEEE International Conference on Advanced Learning Technologies, Riga, Latvia, July 15-17, 2009. Published in: ICALT 2009: 9th International Conference on Advanced Learning Technologies, Proceedings pp. 104-106. ISBN 978-1-4244-4482-3. doi:10.1109/ICALT.2009.10
Hengesbach, Nicole (2022) Undoing seamlessness : exploring seams for critical visualization. In: CHI EA '22: CHI Conference on Human Factors in Computing Systems Extended Abstracts, New Orleans, 29 Apr- 5 May 2022. Published in: CHI Conference on Human Factors in Computing Systems Extended Abstracts ISBN 9781450391566. doi:10.1145/3491101.3519703
Herdman, J. A., Gaudin, W. P., Perks, O. F. J., Beckingsale, David A., Mallinson, Andrew C. and Jarvis, Stephen A. (2014) Achieving portability and performance through OpenACC. In: First Workshop on Accelerator Programming using Directives, New Orleans, LA, 16-21 Nov 2014. Published in: IEEE Special Interest Group in High Performance Computing pp. 19-26. ISBN 9781467367530.
Herdman, J. A., Gaudin, W. P., Turland, D. and Hammond, Simon D. (2010) Benchmarking and modelling of POWER-7, Westmere, BG/P, and GPUs : an industry case study. In: 1st International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computing Systems (PMBS 10), New Orleans, LA, USA, 13-19 Nov 2010
Herfort, Benjamin, Eckle, Melanie and Albuquerque, João Porto de (2016) Being specific about geographic information crowdsourcing : a typology and analysis of the Missing Maps project in South Kivu. In: 13th International Conference on Information Systems for Crisis Response and Management ISCRAM 2016, Rio de Janeiro, Brazil, 22-25 May 2016. Published in: Proceedings of the ISCRAM 2016 Conference – Rio de Janeiro, Brazil, May 2016
Hickey, Christopher J. A. and Cormode, Graham (2019) Efficient interactive proofs for linear algebra. In: ISAAC 2019: The 30th International Symposium on Algorithms and Computation, Shanghai, China, 8-11 Dec 2019. Published in: 30th International Symposium on Algorithms and Computation (ISAAC 2019), 149 48:1-48:19. ISBN 9783959771306. doi:10.4230/LIPIcs.ISAAC.2019.48
Higgins, Allen, Mangan, Anita, Kerrigan, Angela, Laffan, Suzanne and Klein, Stefan (2009) Activity, ICT, and material infrastructure in complex multi-organisational settings: an assessment of innovation potential for pharmaceutical cold chain transport and handling. In: 22nd Bled eConference eEnablement - Facilitating an Open, Effective and Representative eSociety, Bled, Slovenia, JuneUN 14-17, 2009. Published in: 22ND Bled eConference eEnablement: Facilitating an Open, Effective and Representative eSociety pp. 170-188. ISBN 978-961-232-231-1.
Higgins, Allen, Vidolov, Simeon, Froessler, Frank and Mullaney, Doreen (2008) XENIA : a metaphor for sense-making and acting in information systems innovation. In: 11th International Working Conference on Open-IT Based Innovation - Moving Towards Cooperative IT Transfer and Knowledge Diffusion, Madrid,Spain, Oct 22-24, 2008. Published in: IFIP- International Federation for Information Processing, Volume 287 pp. 197-209. ISBN 978-0-387-87502-6. doi:10.1007/978-0-387-87503-3_11 ISSN 1571-5736.
Higgins, Matthew D., Green, Roger and Leeson, Mark S. (2008) Genetic algorithm channel control for indoor optical wireless communications. In: 10th International Conference on Transparent Optical Networks, Athens, Greece, Jun 22-26, 2008. Published in: Proceedings of 2008 10th Anniversary International Conference Transparent Optical Network, Volume 4 pp. 189-192. doi:10.1109/ICTON.2008.4598765 ISSN ISBN: 9781424426256 .
Hirahara, Shuichi, Ilango, Rahul, Lu, Zhenjian, Nanashima, Mikito and Oliveira, Igor C. (2023) A duality between one-way functions and average-case symmetry of information. In: STOC 2023: 55th Annual ACM Symposium on Theory of Computing, Orlando, FL, USA, 20-23 Jun 2023. Published in: Proceedings of the STOC 2023: 55th Annual ACM Symposium on Theory of Computing (In Press)
Hirahara, Shuichi, Oliveira, Igor C. and Santhanam, Rahul (2018) NP-hardness of minimum circuit size problem for OR-AND-MOD circuits. In: Computational Complexity Conference, San Diego, California, 22-24 Jun 2018. Published in: Proceedings of the 33rd Computational Complexity Conference, 102 5:1-5:31. ISBN 9783959770699. doi:10.4230/LIPIcs.CCC.2018.5 ISSN 1868-8969.
Hoad, Kathryn, Robinson, Stewart and Davies, Ruth (2007) Automating des output analysis : how many replications to run. In: 2007 Winter Simulation Conference, Washington, DC, 09-12 Dec 2007. Published in: Simulation Conference, 2007 Winter pp. 505-512. doi:10.1109/WSC.2007.4419641
Hofman, Piotr , Lasota, Slawomir , Lazic, Ranko, Leroux, Jerome , Schmitz, Sylvain and Totzke, Patrick (2016) Coverability trees for petri nets with unordered data. In: 19th International Conference on Foundations of Software Science and Computation Structures (FoSSaCS), Eindhoven, The Netherlands, 2-8 Apr 2016. Published in: Lecture Notes in Computer Science, 9634 pp. 445-461. ISBN 9783662496299. doi:10.1007/978-3-662-49630-5_26 ISSN 0302-9743.
Hohlfeld, Oliver, Pujol, Enric, Ciucu, Florin, Feldmann, Anja and Barford, Paul (2014) A QoE perspective on sizing network buffers. In: 2014 Conference on Internet Measurement Conference, Vancouver, BC, Canada, 5-7 Nov 2014. Published in: Proceedings of the 2014 Conference on Internet Measurement Conference pp. 333-346. ISBN 9781450332132. doi:10.1145/2663716.2663730
Horita, F. E. A., Vilela, R. B., Martins, R. G., Bressiani, D. A., Palma, G. and Porto de Albuquerque, João (2018) Determining flooded areas using crowd sensing data and weather radar precipitation : a case study in Brazil. In: ISCRAM 2018, Rochester, NY, USA, 20-23 May 2018. Published in: ISCRAM 2018 Conference Proceedings – 15th International Conference on Information Systems for Crisis Response and Management pp. 1040-1050. ISBN 9780692127605.
Hu, Xiao-Bing and Di Paolo, Ezequiel (2009) A ripple-spreading genetic algorithm for the airport gate assignment problem. In: IEEE Congress on Evolutionary Computation, Trondheim, Norway, MAY 18-21, 2009. Published in: 2009 IEEE Congress on Evolutionary Computation, Vols.1-5 pp. 1857-1864. ISBN 978-1-4244-2958-5. doi:10.1109/CEC.2009.4983167
Hu, Xiao-Bing, Leeson, Mark S. and Hines, Evor (2009) An effective genetic algorithm for the network coding problem. In: IEEE Congress on Evolutionary Computation, Trondheim, Norway, May 18-21, 2009. Published in: 2009 IEEE Congress on Evolutionary Computation, Vols. 1-5 pp. 1714-1720. ISBN 978-1-4244-2958-5. doi:10.1109/CEC.2009.4983148
Hu, Yongjian and Li, Chang-Tsun (2010) Selecting forensic features for robust source camera identification. In: International Computer Symposium 2010, Tainan, Taiwan, 16-18 Dec, 2010 doi:10.1109/COMPSYM.2010.5685458
Huang, Jimmy C., Chou, Andrea, Rongraung, Suravee and Sharma, Anik (2008) Customer centric design : who should be in the center of the design? In: 12th Pacific Asia Conference on Information Systems (PACIS 2008), Suzhou, China, Jul 03-07, 2008. Published in: PACIS 2008 Proceedings (Number 188). pp. 557-568.
Huang, Wei, Zhao, Xingyu, Jin, Gaojie and Huang, Xiaowei (2023) SAFARI : Versatile and efficient evaluations for robustness of interpretability. In: International Conference on Computer Vision 2023, Paris, 02-06 Oct 2023 (In Press)
Hughes, Sarah, Jones, R. Peter and Burrows, Andrew J. (2005) Application of system modeling to Road Load Data synthesis for automobile product development. In: ASME International Mechanical Engineering Congress and Exposition, Orlando, FL, NOV 05-11, 2005. Published in: Proceedings of the ASME Computers and Information in Engineering Division pp. 31-40. ISBN 0-7918-4214-2. doi:10.1115/IMECE2005-79253
Hui, Xia, He, Ligang, Wang, Bin, Chang, Cheng, Han, Xie and Maple, Carsten (2019) Developing offloading-enabled application development frameworks for android mobile devices. In: The 20th IEEE International Conference on High Performance Computing and Communications (HPCC-2018) , Exeter, UK, 28-30 Jun 2018. Published in: 2018 IEEE 20th International Conference on High Performance Computing and Communications; IEEE 16th International Conference on Smart City; IEEE 4th International Conference on Data Science and Systems (HPCC/SmartCity/DSS) ISBN 9781538666159. doi:10.1109/HPCC/SmartCity/DSS.2018.00086
Hulusic, Vedad , Valenzise, Giuseppe, Provenzi, Edoardo , Debattista, Kurt and Dufaux, Frederic (2016) Perceived dynamic range of HDR images. In: 8th International Workshop on Quality of Multimedia Experience (QoMEX’2016), Lisbon, Portugal, 6-8 Jun 2016. Published in: 2016 Eighth International Conference on Quality of Multimedia Experience (QoMEX) ISBN 9781509003532. doi:10.1109/QoMEX.2016.7498953
Hulusić, Vedad, Aranha, Matt and Chalmers, Alan (2008) The influence of cross-modal interaction on perceived rendering quality thresholds. In: 16th International Conference in Central Europe on Computer Graphics, Visualization and Computer Vision, Univ W Bohemia, Plzen, Czech Republic, Feb 04-07, 2008. Published in: Journal of WSCG, Volume 16 pp. 41-48. ISBN 978-80-86943-15-2. ISSN 1213-6972.
Humphreys, Louise, Giudice, Sebastiano D., Jennings, P. A. (Paul A.), Cain, Rebecca, Dunne, Garry and Allman-Ward, Mark (2009) Understanding the opinion forming processes of experts and customers during evaluations of automotive sounds. In: 8th International Conference on Engineering Psychology and Cognitive Ergonomics held at the HCI International 2009, San Diego, CA, U.S.A., July 19-24, 2009. Published in: Lecture Notes in Computer Science, Vol.5639 pp. 386-395. ISBN 978-3-642-02727-7. doi:10.1007/978-3-642-02728-4 ISSN 0302-9743.
Hussain, Arshad, Rajpoot, Nasir M. and Rajpoot, Kashif (2006) Texture classification with ants. In: IEEE International Conference on Image Processing (ICIP 2006), Georgia, USA, 8-11 Oct 2006. Published in: 2006 IEEE International Conference on Image Processing pp. 3013-3016. ISBN 1424404800. ISSN 1522-4880.
Ibrahim Teo, Noor Hasimah (2018) Categorized question template generation for ontology-based assessment questions. In: ICKET 2018, Edinburgh, 22-24 Aug 2018. Published in: Proceedings of 8th international conference on knowledge and education technology (ICKET 2018)
Ikenmeyer, Christian, Komarath, Balagopal and Saurabh, Nitin (2022) Karchmer-Wigderson games for hazard-free computation. In: 14th Innovations in Theoretical Computer Science (ITCS), MIT in Cambridge, Massachusetts, 11-13 Jan 2023. Published in: Proceedings of the 14th Innovations in Theoretical Computer Science Conference (ITCS 2023), 251 ISBN 9783959772631. doi:10.4230/LIPIcs.ITCS.2023.74 ISSN 1868-8969.
Ikenmeyer, Christian and Pak, Igor (2022) What is in# P and what is not? In: FOCS 2022: 63rd IEEE Symposium on Foundations of Computer Science, Denver, USA, Oct 31 - Nov 3, 2022. Published in: 2022 IEEE 63rd Annual Symposium on Foundations of Computer Science (FOCS) pp. 860-871. doi:10.1109/FOCS54457.2022.00087
Ikenmeyer, Christian, Pak, Igor and Panova, Greta (2023) Positivity of the symmetric group characters is as hard as the polynomial time hierarchy. In: ACM-SIAM Symposium on Discrete Algorithms (SODA23), Florence, Italy ; Hybrid, 22-25 Jan 2023. Published in: Proceedings of the 2023 Annual ACM-SIAM Symposium on Discrete Algorithms (SODA) pp. 3573-3586. ISBN 9781611977554. doi:10.1137/1.9781611977554.ch136
Ikenmeyer, Christian and Panova, Greta (2024) All Kronecker coefficients are reduced Kronecker coefficients. In: FPSAC 2024, Ruhr-Universität Bochum, 22-26 Jul 2024 (In Press)
Ilango, Rahul, Loff, Bruno and Carboni Oliveira, Igor (2020) NP-hardness of circuit minimization for multi-output functions. In: Computational Complexity Conference (CCC), 28–31 Jul 2020. Published in: CCC '20: Proceedings of the 35th Computational Complexity Conference pp. 1-36. ISBN 9783959771566. doi:10.4230/LIPIcs.CCC.2020.22 ISSN 1868-8969.
Inamdar, Tanmay, Kundu, Madhumita, Parviainen, Pekka, Ramanujan, Maadapuzhi Sridharan and Saurabh, Saket (2024) Exponential-time approximation schemes via compression. In: 15th Innovations in Theoretical Computer Science Conference (ITCS 2024), Berkeley, CA, USA, 30 Jan - 2 Feb 2024. Published in: 5th Innovations in Theoretical Computer Science Conference (ITCS 2024), 287 64:1-64:22. doi:10.4230/LIPIcs.ITCS.2024.64
Ioannou, Lenos and Fahmy, Suhaib A. (2020) Lightweight programmable DSP block overlay for streaming neural network acceleration. In: International Conference on Field Programmable Technology, Tianjin, China, 9–13 Dec 2019. Published in: 2019 International Conference on Field-Programmable Technology (ICFPT) ISBN 9781728129440. doi:10.1109/ICFPT47387.2019.00066
Ioannou, Lenos and Fahmy, Suhaib A. (2019) Network intrusion detection using neural networks on FPGA SoCs. In: International Conference on Field-Programmable Logic and Applications, Barcelona, Spain, 9–13 Sep 2019. Published in: 2019 29th International Conference on Field Programmable Logic and Applications (FPL) ISBN 9781728148847. doi:10.1109/FPL.2019.00043 ISSN 1946-1488.
Ismail, A. and Joy, Mike (2007) A novel approach for metametadata views in learning domains. In: ED-MEDIA - World Conference on Educational Multimedia, Hypermedia and Telecommunication, Chesapeake, VA, 2007. Published in: Proceedings of World Conference on Educational Multimedia, Hypermedia and Telecommunications 2007, Volume 2007 (Number 1). pp. 3550-3557. ISBN 9781880094624.
Ismail, Amirah and Joy, Mike (2011) Semantic searches for extracting similarities in a content management system. In: 2011 International Conference on Semantic Technology and Information Retrieval, Putrajaya, Malaysia, 28-29 Jun 2011. Published in: 2011 International Conference on Semantic Technology and Information Retrieval (STAIR) pp. 113-118. ISBN 9781612843544. doi:10.1109/STAIR.2011.5995774
Ismail, Amirah, Joy, Mike, Sinclair, Jane and Hamzah, Mohd. Isa (2010) A structured model metametadata technique to enhance semantic searching in metadata repository. In: 4th International Conference on Knowledge Generation, Communication and Management (KGCM 2010), Orlando, Florida, 29 June - 2 July 2010 (Unpublished)
Iwama, Kazuo, Nishimura, Harumichi, Paterson, Michael S., Raymond, Rudy and Yamashita, Shigeru (2008) Polynomial-time construction of linear network coding. In: ICALP 2008: 35th International Colloquium on Automata, Languages and Programming , Reykjavik, Iceland, 6 - 13 Jul 2008 . Published in: Lecture Notes in Computer Science, Vol.5125 pp. 271-282. doi:10.1007/978-3-540-70575-8_23 ISSN 0302-9743.
Jain, Abhishek Kumar, Li, Xiangwei, Singhai, Pranjul, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) DeCO : A DSP block based FPGA accelerator overlay with low overhead interconnect. In: IEEE International Symposium on Field-Programmable Custom Computing Machines, Washington, DC, 1–3 May 2016. Published in: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 1-8.
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) Are coarse-grained overlays ready for general purpose application acceleration on FPGAs? In: IEEE International Conference on Pervasive Intelligence and Computing, Auckland, New Zealand, 8–12 Aug 2016. Published in: 2016 IEEE 14th Intl Conf on Dependable, Autonomic and Secure Computing, 14th Intl Conf on Pervasive Intelligence and Computing, 2nd Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress(DASC/PiCom/DataCom/CyberSciTech) ISBN 9781509040667. doi:10.1109/DASC-PICom-DataCom-CyberSciTec.2016.110
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) Throughput oriented FPGA overlays using DSP blocks. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 14–18 Mar 2016. Published in: 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) pp. 1628-1633. ISBN 9783981537062. ISSN 1558-1101.
Jakobs, Kai, Procter, Rob, Williams, Robin and Fichtner, Martina (1996) Some non-technical issues in the implementation of corporate e-mail : lessons from case studies. In: ACM SIGCPR/SIGMIS Conference, Denver, Colorado, 11-13 Apr 1996. Published in: SIGCPR '96 Proceedings of the 1996 ACM SIGCPR/SIGMIS conference on Computer personnel research pp. 173-180. ISBN 9780897917827.
Jakobs, Kai, Williams, Robin and Procter, Rob (1998) Standardisation and innovation. In: 9th International Conference of the Information Resources Management Association, Boston, USA, 17-20 May 1998. Published in: Effective utilization and management of emerging information technologies : 1998 Information Resources Management Association, International Conference, Boston, MA, USA, May 17-20, 1998 pp. 1-3. ISBN 1878289500.
James, C. J., Andrianakis, Ioannis, Dillon, James, Mitchell, Philippa, Mould, Richard, Glautier, Steve, O'Connor, Vincent and Holden-Dye, Lindy (2008) Body shape analysis and 2-dimensional cluster representation of C. elegans behaviour: a route to defining complexity in ethanol's modes of action. In: Synaptic Function and Behaviour of C. Elegans Topic Meeting #2, University of Wisconsin, Madison, WI, U.S.A., July, 2008. Published in: Synaptic Function and Behaviour of C. Elegans Topic Meeting #2, Poster Abstracts p. 77.
Jantjies, Mmaki and Joy, Mike (2014) A Framework to support mobile learning in multilingual environments. In: 10th International Conference on Mobile Learning (ML 2014), Madrid, Spain, 28 Feb - 2 Mar 2014 pp. 222-229. ISBN 9789898704023.
Jantjies, Mmaki and Joy, Mike (2013) Mobile learning through indigenous languages : learning through a constructivist approach. In: 12th World Conference on Mobile and Contextual Learning (mLearn 2013), Doha, Qatar, 22-24 Oct 2013. Published in: 12th World Conference on Mobile and Contextual Learning (mLearn 2013), Volume 2013 (Article number 14). (Unpublished)
Jantjies, Mmaki and Joy, Mike (2012) Multilingual mobile learning : a case study of four South African high schools. In: 11th World Conference on Mobile and Contextual Learning (mLearn 2012), Helsinki, Finland, 16-18 October 2012. Published in: Proceedings of the 11th International Conference on Mobile and Contextual Learning 2012 pp. 208-211. ISSN 1613-0073.
Jarvis, Stephen A. (2002) Grid middleware : underpinning the e-architectures. In: Shaping the Information Society in Europe 2002, Berlin, Germany, 22-23 Apr 2002
Jarvis, Stephen A., Foley, Brian Patrick, Isitt, P. J., Spooner, Daniel P., Rueckert, Daniel and Nudd, G. R. (2008) Performance prediction for a code with data-dependent runtimes. In: 4th UK e-Science All Hands Meeting (AHM 2005), Nottingham, England, 19-22 Sep 2005. Published in: Concurrency and Computation: Practice & Experience, Volume 20 (Number 3). pp. 195-206. doi:10.1002/cpe.1191 ISSN 1532-0626.
Jarvis, Stephen A., Marlow, S., Peyton-Jones, S. L. and Wilcox, E. (1998) Standardising compiler/profiler log files. In: Proceedings of the 10th International Workshop of the Implementation of Functional Languages (IFL'98), University College London, London, UK, 9 Sep - 11 Sep 1998 pp. 429-446.
Jarvis, Stephen A., Spooner, Daniel P., Dyson, J. R. D., Zhao, Lei and Nudd, G. R. (2003) Performance-responsive middleware for grid computing. In: All Hands Meeting 2003: Delivering e-Science, Nottingham, UK, 2-4 Sep 2003 (Unpublished)
Jarvis, Stephen A., Spooner, Daniel P., Lim Choi Keung, Hélène Niuklan, Cao, J., Saini, S. and Nudd, G. R. (2003) Performance prediction and its use in parallel and distributed computing systems. In: International Workshop on Performance Modelling, Evaluation and Optimization of Parallel and Distributed Systems (PMEO'2003), Nice, France, 22-26 April 2003. Published in: International Parallel and Distributed Processing Symposium, 2003. Proceedings. ISBN 0769519261. doi:10.1109/IPDPS.2003.1213495 ISSN 1530-2075.
Jarvis, Stephen A., Spooner, Daniel P., Lim Choi Keung, Hélène Niuklan, Cao, Junwei, Saini, Subhash and Nudd, G. R. (2006) Performance prediction and its use in parallel and distributed computing systems. In: International Workshop on Performance Modeling, Evaluation, and Optimization of Parallel and Distributed Systems, Nice, France, 22-26 Apr 2003. Published in: Future Generation Computer Systems, Volume 22 (Number 7). pp. 745-754. doi:10.1016/j.future.2006.02.008 ISSN 0167-739X.
Jarvis, Stephen A., Spooner, Daniel P., Lim Choi Keung, Hélène Niuklan, Dyson, J. R. D., Zhao, L. and Nudd, G. R. (2003) Performance-based middleware services for grid computing. In: Autonomic Computing Workshop/5th Annual International Workshop on Active Middleware Services, Seattle, USA, 25 Jun 2003. Published in: Proceedings of the Autonomic Computing Workshop. 2003. pp. 151-159. ISBN 0769519830. doi:10.1109/ACW.2003.1210215
Jarvis, Stephen A., Wright, Steven A. and Hammond, Simon D. (2015) Proceedings of the 6th International Workshop on Performance Modeling, Benchmarking, and Simulation of High Performance Computing Systems. In: 6th International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computing Systems, Austin, TX, 15-20 Nov 2015. Published in: Proceedings of the 6th International Workshop on Performance Modeling, Benchmarking, and Simulation of High Performance Computing Systems ISBN 9781450340090.
Jemmett, Philip N. and Low, Margaret (2023) Countdown to code : context, challenge, creativity. A model for any challenge-based coding activity for engaging young people. In: Snap!Con 2023, Citilab, Barcelona, Spain, 19-21 Jul 2023
Jhumka, Arshad (2010) Crash-tolerant collision-free data aggregation scheduling for wireless sensor networks. In: 29th IEEE International Symposium on Reliable Distributed Systems, New Delhi, India, 31 Oct - 03 Nov 2010 . Published in: Symposium on Reliable Distributed Systems. Proceedings pp. 44-53. doi:10.1109/SRDS.2010.14 ISSN 1060-9857.
Jhumka, Arshad and Bradbury, Matthew S. (2017) Deconstructing source location privacy-aware routing protocols. In: 32nd ACM SIGAPP Symposium On Applied Computing, Marrakech, Morocco, 3-7 April 2017. Published in: Proceedings of the Symposium on Applied Computing pp. 431-436. ISBN 9781450344869.
Jhumka, Arshad, Bradbury, Matthew S. and Leeke, Matthew (2012) Towards understanding source location privacy in wireless sensor networks through fake sources. In: 11th IEEE International Conference on Trust, Security and Privacy in Computing and Communications (TrustCom'12), Liverpool, UK, 25-27 Jun 2012 pp. 760-768. ISBN 9781467321723. doi:10.1109/TrustCom.2012.281
Jhumka, Arshad, Griffiths, Nathan, Dawson, A. (Anthony) and Myers, Richard (2008) An outlook on the impact of trust models on routing in mobile ad hoc networks (MANETs). In: Networking and Electronic Commerce Research Conference (NAEC 2008), Lake Garda, Italy, 25-28 Sep 2008 (Unpublished)
Jhumka, Arshad and Leeke, Matthew (2009) Issues on the design of efficient fail-safe fault tolerance. In: 20th International Symposium on Software Reliability Engineering, 2009. ISSRE '09, Mysuru, Karnataka, 16-19 Nov 2009 . Published in: International Symposium on Software Reliability Engineering. Proceedings pp. 155-164. doi:10.1109/ISSRE.2009.31 ISSN 1071-9458.
Jhumka, Arshad and Leeke, Matthew (2011) The early identification of detector locations in dependable software. In: 22nd IEEE International Symposium on Software Reliability Engineering, Hiroshima, Japan, 29 Nov - 2 Dec 2011. Published in: Proceedings of 22nd IEEE International Symposium on Software Reliability Engineering pp. 40-49. doi:10.1109/ISSRE.2011.34 ISSN 9780769545684.
Jhumka, Arshad and Mottola, Luca (2009) On consistent neighborhood views in wireless sensor networks. In: 28th IEEE International Symposium on Reliable Distributed Systems, Niagara Falls, NY, September 27-30, 2009. Published in: 2009 28th IEEE International Symposium on Reliable Distributed Systems, Proceedings pp. 199-208. ISBN 978-0-7695-3826-6. doi:10.1109/SRDS.2009.19 ISSN 1060-9857.
Jia, Ning, Li, Chang-Tsun, Sanchez Silva, Victor and Liew, Alan Wee-Chung (2017) Fast and robust framework for view-invariant gait recognition. In: 5th International Workshop on Biometrics and Forensics (IWBF), Coventry, UK, 4 Apr 2017 ISBN 9781509057917. doi:10.1109/IWBF.2017.7935092
Jia, Ning, Sanchez Silva, Victor and Li, Chang-Tsun (2018) Learning optimised representations for view-invariant gait recognition. In: IAPR/IEEE International Joint Conference on Biometrics (IJCB), Denver, CO, USA, 1-4 Oct 2017 ISBN 9781538611241. doi:10.1109/BTAS.2017.8272769
Jia, Ning, Sanchez Silva, Victor, Li, Chang-Tsun and Mansour, Hassan (2015) On reducing the effect of silhouette quality on Iindividual gait recognition : a feature fusion approach. In: 14th International Conference of the Biometrics Special Interest Group (BIOSIG) , Darmstadt, Germany, 09-11 Sep 2015. Published in: 14th International Conference of the Biometrics Special Interest Group (BIOSIG)
Jia, Ning, Sanchez Silva, Victor, Li, Chang-Tsun and Mansour, Hassan (2015) The influence of segmentation on individual gait recognition. In: 7th IEEE International Workshop on Information Forensics and Security (WIFS) 2015, Roma Tre University, Italy, 16-19 Nov 2015. Published in: 2015 IEEE International Workshop on Information Forensics and Security (WIFS), pp. 1-6. doi:10.1109/WIFS.2015.7368563
Jorgensen, Zach, Yu, Ting and Cormode, Graham (2015) Conservative or liberal? : personalized differential privacy. In: 31st IEEE International Conference on Data Engineering (2015), Seoul, South Korea, 13-17 Apr 2015. Published in: 2015 IEEE 31st International Conference on Data Engineering pp. 1023-1034. ISBN 9781479979646. doi:10.1109/ICDE.2015.7113353 ISSN 1063-6382.
Jorgensen, Zach, Yu, Ting and Cormode, Graham (2016) Publishing attributed social graphs with formal privacy guarantees. In: ACM SIGMOD International Conference on Management of Data (SIGMOD), San Francisco, USA, 26 Jun - 1 Jul 2016. Published in: SIGMOD '16 Proceedings of the 2016 International Conference on Management of Data pp. 107-122. ISBN 9781450335317. doi:10.1145/2882903.2915215
Jormanainen, I., Beynon, Meurig and Sutinen, Erkki (2010) Understanding open learning processes in a robotics class. In: International Conference on Computing Education Research (Koli Calling), Koli National Park, Finland. Published in: Koli Calling 2009 : 9th International Conference on Computing Education Research pp. 51-54. ISSN 1404-3203.
Joshi, H., Green, Roger and Leeson, Mark S. (2009) Channel models for optical wireless communication systems. In: 11th International Conference on Transparent Optical Networks, S Miguel, Portugal, June 28-JUL 02, 2009. Published in: ICTON: 2009 11TH Intrnational Conference on Transparent Optical Networks, Vols. 1 and 2 p. 82. ISBN 978-1-4244-4825-8. doi:10.1109/ICTON.2009.5184992
Joshi, H., Green, Roger and Leeson, Mark S. (2008) Multiple sub-carrier optical wireless systems. In: 10th International Conference on Transparent Optical Networks, Athens, Greece, Jun 22-26, 2008. Published in: Proceedings of 2008 10th International Conference on Transparent Optical Networks, V4 pp. 184-188. ISBN 9781424426256 . doi:10.1109/ICTON.2008.4598764
Joy, Mike (2004) Doctoral study in educational technology. In: 4th IEEE International Conference on Advanced Learning Technologies, Joensuu, Finland, 30 Aug - 01 Sep 2004. Published in: IEEE International Conference on Advanced Learning Technologies, 2004. Proceedings. pp. 1056-1057. ISBN 0769521819. doi:10.1109/ICALT.2004.1357750
Joy, Mike and Burnett, I. (2001) The BOSS online submission system. In: LTSN-ICS Workshop on CAA, Coventry, UK
Joy, Mike, Chan, Pui-Shan and Luck, Michael (Michael M.) (2000) Networked submission and assessment. In: 1st Annual Conference of the LTSN Centre for Information and Computer Sciences, Edinburgh, UK, 23-25 Aug 2000
Joy, Mike, Cosma, Georgina, Sinclair, Jane and Yau, Jane Yin-Kim (2009) A taxonomy of plagiarism in computer science. In: International Conference on Education and New Learning Technologies (EDULEARN09), Barcelona, Spain, 6-8 Jul 2009. Published in: EDULEARN09 Proceedings pp. 3372-3379. ISBN 9788461298013. ISSN 2340-1117.
Joy, Mike and Griffiths, Nathan (2004) Online submission of coursework - a technological perspective. In: 4th IEEE International Conference on Advanced Learning Technologies, Joensuu, Finland, 30 Aug - 01 Sep 2004. Published in: IEEE International Conference on Advanced Learning Technologies, 2004. Proceedings. pp. 430-434. ISBN 0769521819. doi:10.1109/ICALT.2004.1357451
Joy, Mike, Griffiths, Nathan, Stott, Mary, Harley, Jon, Wattebot, Cathy and Holt, Derek F. (2002) Coresoft : a framework for student data. In: 3rd Annual Conference of the LTSN Centre for Information and Computer Sciences, Loughborough, UK, 27-29 Aug 2002. Published in: 3rd Annual Conference of the LTSN Centre for Information and Computer Sciences pp. 31-36. ISBN 9780954192716.
Joy, Mike and Luck, Michael (Michael M.) (1997) Computer-assisted learning using the Web. In: 5th Annual Conference on the Teaching of Computing, Dublin, Eire, 28-30 Aug 1997. Published in: Proceedings of the 5th Annual Conference on the Teaching of Computing pp. 105-108.
Joy, Mike and Luck, Michael (Michael M.) (1996) A user-friendly on-line submissions system. In: 4th Annual Conference on the Teaching of Computing, Dublin, Eire, 27-29 Aug 1996 pp. 92-95.
Joy, Mike, Sinclair, Jane, Boyatt, Russell, Yau, Jane Yin-Kim and Cosma, Georgina (2012) Student perspectives on plagiarism in computing. In: 5th International Plagiarism Conference, Newcastle, UK, 16-18 July 2012
Jurdzinski, Marcin (2009) Algorithms for solving infinite games. In: 35th Conference on Current Trends in Theory and Practice of Computer Science, Spindleruv Mlyn, Czech Republic, January 24-30, 2009. Published in: Lecture Notes in Computer Science, Vol.5404 pp. 46-48. ISBN 978-3-540-95890-1. doi:10.1007/978-3-540-95891-8_7 ISSN 0302-9743.
Jurdzinski, Marcin, Kwiatkowska, Marta, Norman, Gethin and Trivedi, Ashutosh (2009) Concavely-priced probabilistic timed automata. In: 30th International Conference on Concurrency Theory, Bologna, Italy, September 01-04, 2009. Published in: Lecture Notes in Computer Science, Vol.5710 pp. 415-430. ISBN 978-3-642-04080-1. doi:10.1007/978-3-642-04081-8_28 ISSN 0302-9743.
Jurdzinski, Marcin and Lazic, Ranko (2007) Alternation-free modal mu-calculus for data trees. In: 22nd Annual IEEE Symposium on Logic in Computer Science , Wroclaw, Poland, 10-14 Jul 2007. Published in: 22nd Annual IEEE Symposium on Logic in Computer Science : proceedings : Wroc¿aw, Poland, 10-14 July, 2007 pp. 131-140. ISBN 9780769529080. ISSN 1043-6871.
Jurdzinski, Marcin, Lazic, Ranko and Schmitz, Sylvain (2015) Fixed-dimensional energy games are in pseudo-polynomial time. In: 42nd International Colloquium, ICALP 2015, Kyoto, Japan, 6-10 Jul 2015. Published in: Automata, Languages, and Programming : 42nd International Colloquium, ICALP 2015, Kyoto, Japan, July 6-10, 2015, Proceedings, Part II, 9135 pp. 260-272. ISBN 9783662476659. doi:10.1007/978-3-662-47666-6_21 ISSN 0302-9743.
Jurdzinski, Marcin, Paterson, Michael S. and Zwick, Uri (2006) A deterministic subexponential algorithm for solving parity games. In: 17th ACM-SIAM Symposium on Discrete Algorithms, Miami, FL, Jan 2006. Published in: Proceedings of the Seventeenth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 117-123. ISBN 978-0-89871-605-4. ISSN 9780898716054.
Jurdzinski, Marcin, Peled, Doron and Qu, Hongyang (2006) Calculating probabilities of real-time test cases. In: 5th International Workshop on Formal Approaches to Software Testing (FATES 2005), Edinburgh, 11 Jul 2005. Published in: Formal Approaches to Software Testing, 3997 pp. 134-151. ISBN 3540344543. ISSN 0302-9743.
Jurdzinski, Marcin and Savani, Rahul (2008) A simple P-matrix linear complementarity problem for discounted games. In: 4th Conference on Computability in Europe (CiE 2008), Athens, Greece, 15-20 Jun 2008. Published in: Logic and Theory of Algorithms, 5028 pp. 283-293. ISBN 9783540694052. doi:10.1007/978-3-540-69407-6_32 ISSN 0302-9743.
Jurdzinski, Marcin and Trivedi, Ashutosh (2008) Average-time games. In: 28th IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science, Bangalore, India, 9-11 Dec 2008. Published in: Leibniz International Proceedings in Informatics, Vol.2 pp. 340-351. doi:10.4230/LIPIcs.FSTTCS.2008.1765 ISSN 1868-8969.
Jurdzinski, Marcin and Trivedi, Ashutosh (2008) Concavely-Priced Timed Automata (Extended Abstract). In: 6th International Conference on Formal Modeling and Analysis of Timed Systems, St Malo, France, Sep 15-17, 2008. Published in: Lecture Notes in Computer Science, Vol.5215 pp. 48-62. ISBN 978-3-540-85777-8. doi:10.1007/978-3-540-85778-5 ISSN 0302-9743.
Jurdzinski, Marcin and Trivedi, Ashutosh (2007) Reachability-time games on timed automata. In: 34th International Colloquium on Automata, Languages and Programming, Wroclaw, Poland, 9-13 Jul 2007. Published in: Automata, Languages and Programming, Proceedings, Volume 4596 pp. 838-849. ISBN 9783540734192. ISSN 0302-9743.
Kamalakkannan, K., Mudalige, Gihan R., Reguly, I. Z. and Fahmy, S. A. (2022) FPGA acceleration of structured-mesh-based explicit and implicit numerical solvers using SYCL. In: IWOCL and SYCLcon, Virtual, 10–12 May 2022. Published in: IWOCL'22: International Workshop on OpenCL ISBN 9781450396585. doi:10.1145/3529538.3530007
Kamalakkannan, Kamalavasan, Mudalige, Gihan R., Reguly, Istvan Z. and Fahmy, Suhaib A. (2022) High throughput multidimensional tridiagonal system solvers on FPGAs. In: ACM International Conference on Supercomputing, Virtual, 28-30 Jun 2022. Published in: ICS '22: Proceedings of the 36th ACM International Conference on Supercomputing pp. 1-12. ISBN 9781450392815. doi:10.1145/3524059.3532371
Kamarudin, Muhammad Hilmi, Maple, Carsten, Watson, Tim and Sofian, Hasliza (2016) Packet header intrusion detection with binary logistic regression approach in detecting R2L and U2R attacks. In: International Conference on Cyber Security, Jakarta, Indonesia, 29-31 Oct 2015. Published in: 2015 Fourth International Conference on Cyber Security, Cyber Warfare, and Digital Forensic (CyberSec) ISBN 9781467384995.
Kar, Sounak, Rehrmann, Robin, Mukhopadhyay, Arpan, Alt, Bastian, Ciucu, Florin, Koeppl, Heinz, Binnig, Carsten and Rizk, Amr (2020) On the throughput optimization in large-scale batch-processing systems. In: IFIP Performance 2020, Online, 2-6 Nov 2020. Published in: Performance Evaluation, 144 doi:10.1016/j.peva.2020.102142 ISSN 0166-5316.
Katsarou, F., Ntarmos, N. and Triantafillou, Peter (2018) Hybrid algorithms for subgraph pattern queries in graph databases. In: 2017 IEEE International Conference on Big Data (IEEE BigData 2017), Boston, MA, USA, 11-14 Dec 2017. Published in: 2017 IEEE International Conference on Big Data (Big Data) ISBN 9781538627167. doi:10.1109/BigData.2017.8257981
Kearney, P., Shapcott, M., Anand, Sarabjot Singh and Patterson, D. (2007) Evaluating the use of semantics in collaborative recommender systems : a user study, intelligent techniques for web personalization and recommender systems in E-commerce. In: Fifth Workshop on Intelligent Techniques for Web Personalization (ITWP'07) and the Workshop on Recommender Systems in E-Commerce. Published in: Papers from the AAAI Workshop, Technical Report WS-07-08 pp. 35-45. ISSN 9781577353355.
Keating, Finnbar and Gale, Michael B. (2021) Graded monads and type-level programming for dependence analysis. In: 14th ACM SIGPLAN International Haskell Symposium, Virtual conference, 26-27 Aug 2021. Published in: Haskell 2021: Proceedings of the 14th ACM SIGPLAN International Symposium on Haskell pp. 27-40. ISBN 9781450386159. doi:10.1145/3471874.3472981
Keer, Daniel, Russ, Steve and Beynon, Meurig (2010) Computing for construal: an exploratory study of desert ant navigation. In: International Conference on Computational Science(ICCS), Univ Amsterdam, Amsterdam, Netherlands, May 31-June 02, 2010. Published in: Procedia Computer Science, Vol.1 (No.1). pp. 2201-2210. doi:10.1016/j.procs.2010.04.247 ISSN 1877-0509.
Keller, Piotr, Dawood, Muhammad and Minhas, Fayyaz ul Amir Afsar (2023) Maximum mean discrepancy kernels for predictive and prognostic modeling of whole slide images. In: 20th IEEE International Symposium on Biomedical Imaging, Cartagena de Indias, Colombia, 18-21 Apr 2023 (In Press)
Kent, Paul and Branke, Juergen (2023) Bayesian quality diversity search with interactive illumination. In: The Genetic and Evolutionary Computation Conference (GECCO), 15-19 Jul 2023, Lisbon, Portugal ; Hybrid. Published in: GECCO '23: Proceedings of the Genetic and Evolutionary Computation Conference pp. 1019-1026. ISBN 9798400701191. doi:10.1145/3583131.3590486
Kerbyson, D. J., Harper, J. S., Craig, A. (Adam) and Nudd, G. R. (1996) PACE : A toolset to investigate and predict performance in parallel systems. In: European Parallel Tools Meeting, ONERA, Paris, 23-25 Oct 1996
Kerbyson, D. J., Packwood, R. A. and Joy, Mike (2001) Automated progress identification and feedback in large experimental laboratories. In: CAL 2001, Coventry, UK, 2-4 Apr 2001 p. 179.
Kettunen, Markus, Lin, Daqi, Ramamoorthi, Ravi, Bashford-Rogers, Thomas and Wyman, Chris (2023) Conditional resampled importance sampling and ReSTIR. In: The 16th ACM SIGGRAPH Conference and Exhibition on Computer Graphics and Interactive Techniques in Asia (SIGGRAPH Asia 2023), Sydney, Australia, 12–15 Dec 2023. Published in: SIGGRAPH Asia 2023 Conference Proceedings doi:10.1145/3610548.3618245 (In Press)
Khadidos, Alaa, Sanchez Silva, Victor and Li, Chang-Tsun (2014) Active contours based on weighted gradient vector flow and balloon forces for medical image segmentation. In: IEEE International Conference on Image Processing (ICIP 2014), Paris, France, 27-30 Oct 2014. Published in: 2014 IEEE International Conference on Image Processing (ICIP) pp. 902-906. doi:10.1109/ICIP.2014.7025181
Khadidos, Alaa, Sanchez Silva, Victor and Li, Chang-Tsun (2014) Active contours with weighted external forces for medical image segmentation. In: 18th Annual Conference in Medical Image Understanding and Analysis (MIUA2014), UK, London, 9-11 Jul 2014
Khan, Mohammed Omar, Lim Choi Keung, Sarah Niukyun, Quenby, Siobhan, Coomarasamy, Arri and Arvanitis, Theodoros N. (2018) Design considerations for tools supporting multi-centre clinical trials. In: AMIA 2018 Informatics Summit, San Francisco, USA, 12-15 Mar 2018 (Unpublished)
Kilbertus, Niki, Gascon, Adrià, Kusner, Matt, Veale, Michael, Gummadi , Krishna P. and Weller, Adrian (2018) Blind justice : fairness with encrypted sensitive attributes. In: 35th International Conference on Machine Learning, ICML 2018, Stockholm, Sweden, 10-15 Jul 2018. Published in: Proceedings of the 35th International Conference on Machine Learning, 80 pp. 2630-2639. ISSN 1938-7228.
Kirk, Richard, Mudalige, Gihan R., Reguly, Istvan Z., Wright, Steven A., Martineau, Matt and Jarvis, Stephen A. (2017) Achieving performance portability for a heat conduction solver mini-application on modern multi-core systems. In: Second International Workshop on Representative Applications (WRAp 2017), Honolulu, Hawaii, USA, 05-08 Sep 2017. Published in: 2017 IEEE International Conference on Cluster Computing (CLUSTER) doi:10.1109/CLUSTER.2017.122 ISSN 2168-9253.
Kirk, Richard, Nolten, Martin, Kevis, Robert, Law, Timothy R., Maheswaran, Satheesh, Wright, Steven A., Powell, Seimon, Mudalige, Gihan R. and Jarvis, Stephen A. (2021) Warwick Data Store : a data structure abstraction library. In: 2020 IEEE/ACM Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems (PMBS), Georgia, USA, 12 Nov 2020 pp. 71-85. ISBN 9781665422659. doi:10.1109/PMBS51919.2020.00013
Kirkilionis, Markus, Domijan, Mirela, Eigel, M., George, E., Li, Mike and Sbano, L. (2009) A definition of cellular interface problems. In: 9th International Workshop on Membrane Computing, Edinburgh, Scotland, July 28-31, 2008. Published in: Lecture Notes in Computer Science, Vol.5391 pp. 36-62. ISBN 978-3-540-95884-0. doi:10.1007/978-3-540-95885-7_4 ISSN 0302-9743.
Kirton, Jack, Bradbury, Matthew S. and Jhumka, Arshad (2017) Source location privacy-aware data aggregation scheduling for wireless sensor networks. In: 37th IEEE International Conference on Distributed Computing Systems (ICDCS 2017), Atlanta, GA, 5–8 Jun 2017. Published in: 2017 IEEE 37th International Conference on Distributed Computing Systems (ICDCS) doi:10.1109/ICDCS.2017.171 ISSN 1063-6927.
Kiss, Peter (2022) Deterministic dynamic matching in worst-case update time. In: Innovations in Theoretical Computer Science 2022, Berkley, CA, USA, 31 Jan - 03 Feb 2022. Published in: Leibniz International Proceedings in Informatics (LIPIcs), 215 94:1-94:21. doi:10.4230/LIPIcs.ITCS.2022.94 ISSN 1868-8969.
Klemmer, Konstantin, Yeboah, Godwin, Porto de Albuquerque, João and Jarvis, Stephen A. (2020) Population mapping in informal settlements with high-resolution satellite imagery and equitable ground-truth. In: ML-IRL Workshop, International Conference on Learning Representations 2020, Addis Ababa, Ethiopia, 26 Apr 2020
Klimošová , Tereza and Králʼ, Daniel (2014) Hereditary properties of permutations are strongly testable. In: 25th Annual ACM-SIAM Symposium on Discrete Algorithms (SODA'14), Portland, USA, 5-7 Jan 2014. Published in: Proceedings of the Twenty-Fifth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1164-1173. ISBN 9781611973389.
Koickal, Thomas J., Hamilton, Alister, Pearce, Tim C., Tan, Su-Lim, Covington, James A. and Gardner, J. W. (2006) Analog VLSI design of an adaptive neuromorphic chip for olfactory systems. In: IEEE International Symposium on Circuits and Systems, Kos Isl, Greece, MAY 21-24, 2006. Published in: 2006 IEEE International Symposium On Circuits And Systems, Proceedings, 1-11 pp. 4547-4550. ISBN 9780780393899. ISSN 0277-674X.
Konstantinov, Sergey, Hansen, Jesper de Oliveira, Assad, Fadi, Ahmad, Bilal, Vera, Danial A. and Harrison, Robert (2023) An analysis of the available virtual engineering tools for building manufacturing systems digital twin. In: 30th CIRP Life Cycle Engineering Conference, New Brunswick, New Jersey, 15-17 May 2023. Published in: Procedia CIRP (116). pp. 570-575. doi:10.1016/j.procir.2023.02.096 ISSN 2212-8271.
Korpelainen, Nicholas and Lozin, Vadim V. (2009) Bipartite graphs of large clique-width. In: 20th International Workshop on Combinatorial Algorithms, Hradec nad Moravici, Czech Republic, June 28-JUL 02, 2009. Published in: Lecture Notes in Computer Science, Vol.5874 pp. 385-395. ISBN 978-3-642-10216-5. doi:10.1007/978-3-642-10217-2_38 ISSN 0302-9743.
Koster, Arie M. C. A., Zymolka, Adrian and Kutschka, Manuel (2009) Algorithms to separate {0,1/2}-Chvátal-Gomory cuts. In: 15th Annual European Symposium on Algorithms (ESA 2007), Eilat, Israel, October 08-10, 2007. Published in: Algorithmica, Vol.55 (No.2 Sp. Iss. SI). pp. 375-391. doi:10.1007/s00453-008-9218-7 ISSN 0178-4617.
Kotiadis, Kathy and Robinson, Stewart (2008) Conceptual modelling: Knowledge acquisition and model abstraction. In: 2008 Winter Simulation Conference, Miami, FL, Dec 07-10, 2008. Published in: Proceedings of the 2008 Winter Simulation Conference, Vols.1-5 pp. 951-958. ISBN 978-1-4244-2707-9. doi:10.1109/WSC.2008.4736161 ISSN 0891-7736.
Kotlarsky, Julia, Van den Hooff, B. and Huysman, M. (2009) Bridging knowledge boundaries in cross-functional groups: the role of a transactive memory system. In: International Conference of Information Systems 2009, Phoenix, Arizona, 15-18th Dec 2009. Published in: ICIS 2009 Proceedings
Kozachinskiy, Alexander (2021) Continuous positional payoffs. In: 32nd International Conference on Concurrency Theory (CONCUR'21), Online, 23-27 Aug 2021. Published in: Proceedings of the 32nd International Conference on Concurrency Theory (CONCUR'21), 203 10:1-10:17. ISBN 9783959772037. doi:10.4230/LIPIcs.CONCUR.2021.10 ISSN 1868-8969.
Krishna, Ritesh and Guo, Shuixia (2008) A Partial Granger Causality Approach to Explore Causal Networks Derived From Multi-parameter Data. In: 6th International Conference on Computational Methods in Systems Biology, Rostock, Germany, OCT 12-15, 2008. Published in: Lecture Notes in Computer Science, Vol.5307 pp. 9-27. ISBN 978-3-540-88561-0. doi:10.1007/978-3-540-88562-7 ISSN 0302-9743.
Krusche, Peter and Tiskin, Alexander (2008) Efficient parallel string comparison. In: International Parallel Computing Conference 2007, RWTH Aachen Univ, Aachen, France, 4-7 Sep 2007, Volume 15 pp. 193-200. ISBN 9781586037963. ISSN 0927-5452.
Krusche, Peter and Tiskin, Alexander (2010) New algorithms for efficient parallel string comparison. In: 22nd ACM Symposium on Parallelism in Algorithms and Architectures, Santorini, Greece, 13-15 Jun 2010. Published in: SPAA '10: Proceedings of the Twenty-Second Annual Symposium on Parallelism in Algorithms and Architectures pp. 209-216. ISBN 9781450300797. doi:10.1145/1810479.1810521
Kulkarni, Vishwesh V. and Raman, Karthik (2016) Fast identification of synthetic lethals using quadratic programming. In: Synthetic Biology UK 2016, Edinburgh, 14-16 Nov 2016. Published in: Synthetic Biology UK 2016
Kulshreshtha, Prakhar and Guha, Tanaya (2018) An online algorithm for constrained face clustering in videos. In: 25th IEEE International Conference on Image Processing (ICIP), Athens, Greece, 7-10 Oct 2018 pp. 2670-2674. ISBN 9781479970629. doi:10.1109/ICIP.2018.8451343 ISSN 2381-8549.
Kumar, Anurendra, Guha, Tanaya and Ghosh, Prasanta (2018) A dynamic latent variable model for source separation. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Calgary, AB, Canada, 15-20 April 2018 pp. 2871-2875. ISBN 9781538646588. doi:10.1109/ICASSP.2018.8461940 ISSN 2379-190X.
Kuntz, Juan, Lim, J. N. and Johansen, Adam M. (2023) Particle algorithms for maximum likelihood training of latent variable models. In: 26th International Conference on Artificial Intelligence and Statistics (AISTATS), Valencia, Spain, 25-27 Apr 2023. Published in: Proceedings on 26th International Conference on Artificial Intelligence and Statistics, 206 pp. 5134-5180. ISSN 2640-3498.
Kurmanji, M. and Triantafillou, Peter (2023) Detect, distill and update : learned DB systems facing out of distribution data. In: ACM SIGMOD Conference on the Management of Data, (SIGMOD23), Seattle, WA, 18-23 Jun 2023. Published in: Proceedings of the ACM on Management of Data, 1 (1). pp. 1-27. doi:10.1145/3588713
Lachish, Oded, Newman, Ilan and Shapira, Asaf (2008) Space complexity vs. query complexity. In: 10th RANDOM Conference, Barcelona, Spain, 28-30 Aug, 2006. Published in: Computational Complexity, Vol.17 (No.1). pp. 70-93. doi:10.1007/s00037-008-0239-z ISSN 1016-3328.
Laine, Teemu, Islas Sedano, Carolina, Sutinen, Erkki and Joy, Mike (2010) Viable and portable architecture for pervasive learning spaces. In: 9th International Conference on Mobile and Ubiquitous Multimedia (MUM'10), Limassol, Cyprus, 1-3 Dec 2010. Published in: Proceedings of the 9th International Conference on Mobile and Ubiquitous Multimedia Article 1. ISBN 9781450304245. doi:10.1145/1899475.1899476
Laine, Teemu, Islas Sedano, Carolina, Vinni, Mikko and Joy, Mike (2009) Characteristics of pervasive learning environments in museum contexts. In: 8th World Conference on Mobile and Contextual Learning (mlearn 2009), Orlando, FL, 26-30 Oct 2009 (Unpublished)
Laine, Teemu and Joy, Mike (2008) Survey on context-aware pervasive learning environments. In: mLearn 2008, Telford, 8-10 Oct 2008 pp. 192-199.
Laine, Teemu, Sutinen, Erkki, Joy, Mike and Nygren, Eeva (2011) Active and passive technology integration in context-aware learning spaces. In: World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education (E-Learn 2011), Honolulu, Hawaii, 18-21 Oct 2011 pp. 719-728.
Laine, Teemu, Sutinen, Erkki, Nygren, Eeva and Joy, Mike (2011) Rapid improvement of technology integration in context-aware learning spaces. In: IEEE Africon 2011, Livingstone, Zambia, 13-15 Sep 2011. Published in: AFRICON, 2011 pp. 1-6. ISBN 2153-0025. doi:10.1109/AFRCON.2011.6072189 ISSN 9781612849928.
Lawrance, Anthony J. (2008) Chaos communication performance analysis: Taking advantage of statistical theory. In: 6th International Symposium on Communication Systems, Networks and Digital Signal Processing, Graz Univ Technol, Graz, Austria, Jul 23-25, 2008. Published in: Proceedings of the 6th International Symposium on Communication Systems, Networks and Digital Signal Processing pp. 1-6. ISBN 978-1-4244-1875-6. doi:10.1109/CSNDSP.2008.4610716 ISSN ISBN: 978-1-4244-1875-6 .
Lawrance, Anthony J. (2006) Is there life after bit error rate or before ? In: IEEE International Symposium on Circuits and Systems, Kos Isl, GREECE, MAY 21-24, 2006. Published in: 2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS pp. 185-188. ISBN 978-0-7803-9389-9. ISSN 0277-674X.
Lazic, Ranko (2006) Safely freezing LTL. In: 26th International Conference on Foundations of Software Technology and Theoretical Computer Science, Calcutta, India, 13-15 Dec 2006, Volume 4337 pp. 381-392. ISBN 9783540499947. ISSN 0302-9743.
Lazic, Ranko and Murawski, Andrzej S. (2016) Contextual approximation and higher-order procedures. In: 19th International Conference, FOSSACS 2016, Eindhoven, The Netherlands, 2-8 Apr 2016. Published in: Foundations of Software Science and Computation Structures :19th International Conference, FOSSACS 2016, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2016, Eindhoven, The Netherlands, April 2-8, 2016, Proceeding, 9634 pp. 162-179. ISBN 9783662496299. doi:10.1007/978-3-662-49630-5_10 ISSN 0302-9743.
Le, Anhtuan and Maple, Carsten (2019) Shadows don’t lie : n-sequence trajectory inspection for misbehaviour detection and classification in VANETs. In: 2019 IEEE 90th Vehicular Technology Conference : VTC2019, Honolulu, Hawaii, 22-25 Sep 2019. Published in: 2019 IEEE 90th Vehicular Technology Conference (VTC2019-Fall) doi:10.1109/VTCFall.2019.8891137 ISSN 2577-2465.
Le, Anhtuan and Maple, Carsten (2019) A simplified approach for dynamic security risk management in connected and autonomous vehicles. In: Living in the Internet of Things (IoT 2019), London, 1-2 May 2019. Published in: Living in the Internet of Things (IoT 2019) ISBN 9781839530890. doi:10.1049/cp.2019.0140
Lee, Jon, Mirrokni, Vahab S., Nagarajan, Viswanath and Sviridenko, Maxim (2009) Non-monotone submodular maximization under matroid and knapsack constraints. In: 41st ACM Symposium on Theory of Computing (STOC 2009), Bethesda, Maryland, 31 May - 2 Jun 2009. Published in: STOC '09 Proceedings of the 41st annual ACM symposium on Theory of computing p. 323. doi:10.1145/1536414.1536459 ISSN 978-1-60558-506-2.
Lee, Royson, Dudziak, Łukasz, Abdelfattah, Mohamed , Venieris, Stylianos I. , Kim, Hyeji , Wen, Hongkai and Lane, Nicholas D. (2020) Journey towards tiny perceptual super-resolution. In: 16th European Conference on Computer Vision, Virtual conference, 23-28 Aug 2020. Published in: Computer Vision – ECCV 2020 : 16th European Conference, Glasgow, UK, August 23–28, 2020, Proceedings, Part XXVI, 12371 pp. 85-102. ISBN 9783030585730. doi:10.1007/978-3-030-58574-7_6
Leeke, Matthew (2021) Reducing model complexity and cost in the generation of efficient error detection mechanisms. In: 19th IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC'21), Calgary, Canada, 25-28 Oct 2021. Published in: Proceedings of the 19th IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC'21) pp. 26-34.
Leeke, Matthew, Arif, Saima, Jhumka, Arshad and Anand, Sarabjot Singh (2011) A methodology for the generation of efficient error detection mechanisms. In: IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN), Hong Kong, 27-30 June 2011 . Published in: Proceedings of the 41st International Conference on Dependable Systems and Networks pp. 25-36. ISBN 9781424492329. doi:10.1109/DSN.2011.5958204 ISSN 1530-0889.
Leeke, Matthew and Jhumka, Arshad (2009) Beyond the golden run : evaluating the use of reference run models in fault injection analysis. In: UK Performance Engineering Workshop (UKPEW 2009), Leeds, UK, 6-7 July 2009. Published in: Proceedings of the 25th UK Performance Engineering Workshop pp. 61-74. ISBN 9780955970313.
Leeke, Matthew and Jhumka, Arshad (2009) Evaluating the use of reference run models in fault injection analysis. In: 15th Pacific Rim International Symposium on Dependable Computing, Shanghai, People's Republic of China, November 16-18, 2009. Published in: IEEE 15th Pacific Rim International Symposium on Dependable Computing, Proceedings pp. 121-124. ISBN 978-0-7695-3849-5. doi:10.1109/PRDC.2009.28
Leeke, Matthew and Jhumka, Arshad (2010) Towards understanding the importance of variables in dependable software. In: 8th European Dependable Computing Conference (EDCC'10), Valencia, Spain, 28-30 April 2010. Published in: 2010 European Dependable Computing Conference (EDCC) pp. 85-94. ISBN 9780769540078. doi:10.1109/EDCC.2010.20
Leeke, Matthew and Jhumka, Arshad (2011) An automated wrapper-based approach to the design of dependable software. In: 4th International Conference on Dependability (DEPEND'11), Nice, France, 21-27 August 2011
Leeson, Mark S., Cui, K. and Hines, Evor (2009) Enhancing optical CDMA. In: 11th International Conference on Transparent Optical Networks, S Miguel, Portugal, June 28-JUL 02, 2009. Published in: ICTON: 2009 11th International Conference on Transparent Optical Networks, Vol. 1 and 2 pp. 362-365. ISBN 978-1-4244-4825-8. doi:10.1109/ICTON.2009.5185306
Leeson, Mark S., Green, Roger and Higgins, Matthew D. (2008) Photoparametric amplifier frequency converters. In: 10th International Conference on Transparent Optical Networks, Athens, Greece, Jun 22-26, 2008. Published in: Proceedings of 2008 10th International Conference on Transparent Optical Networks, Vol.4 pp. 197-200. ISBN *****************. doi:10.1109/ICTON.2008.4598767 ISSN ISBN: 978-1-4244-2625-6 .
Lemmens, Bas (2006) Nonlinear perron-probenius theory and dynamics of cone maps. In: 2nd Multidisciplinary International Symposium on Positive Systems (POSTA 2006), Grenoble, FRANCE, AUG 30-SEP 01, 2006. Published in: POSITIVE SYSTEMS, PROCEEDINGS, 341 pp. 399-406. ISBN 3-540-34771-2. ISSN 0170-8643.
Leung, Chin-wing, Hu, Shuyue and Leung, Ho-fung (2023) The stochastic evolutionary dynamics of softmax policy gradient in games. In: 23rd International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024), Auckland, New Zealand, 6–10 May 2024. Published in: Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024)
Leung, Chin-wing and Turrini, Paolo (2024) Learning partner selection rules that sustain cooperation in social dilemmas with the option of opting out. In: 23rd International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024), Auckland, New Zealand, 6–10 May 2024. Published in: Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024) (In Press)
Levett, C., Jhumka, Arshad and Anand, Sarabjot Singh (2010) Towards event ordering in digital forensics. In: 12th ACM Multimedia Security Workshop, Univ Studi Roma TRE, Roma, Italy, 09-10 Sep 2010 . Published in: MM&Sec '10 : proceedings of the 2010 ACM SIGMM Multimedia and Security Workshop ; September 9-10, 2010, Roma, Italy / sponsored by ACM SIGMM pp. 35-42. doi:10.1145/1854229.1854238 ISSN 9781450302869.
Leyva, Roberto, Sanchez Silva, Victor and Li, Chang-Tsun (2017) Abnormal event detection in videos using binary features. In: 2017 40th International Conference on Telecommunications and Signal Processing (TSP), Barcelona, Spain, 5-7 Jul 2017 ISBN 9781509039821. doi:10.1109/TSP.2017.8076061
Leyva, Roberto, Sanchez Silva, Victor and Li, Chang-Tsun (2018) Detecting small objects in high-resolution images with integral Fisher score. In: 25th IEEE International Conference on Image Processing (ICIP), Athens, Greece, 7-10 Oct 2018 pp. 316-320. ISBN 9781479970612. doi:10.1109/ICIP.2018.8451677 ISSN 2381-8549.
Leyva, Roberto, Sanchez Silva, Victor and Li, Chang-Tsun (2018) Fast detection of abnormal events in videos with binary features. In: 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Calgary, AB, Canada, 15-20 Apr 2018 ISBN 9781538646588. doi:10.1109/ICASSP.2018.8461759 ISSN 2379-190X.
Leyva, Roberto, Sanchez Silva, Victor and Li, Chang-Tsun (2017) The LV dataset : a realistic surveillance video dataset for abnormal event detection. In: 5th International Workshop on Biometrics and Forensics, Coventry, UK, 4-5 Apr 2017 ISBN 9781509057917. doi:10.1109/IWBF.2017.7935096
Leyva, Roberto, Sanchez Silva, Victor and Li, Chang-Tsun (2014) Video anomaly detection based on wake motion descriptors and perspective grids. In: 2014 IEEE International Workshop on Information Forensics and Security (WIFS), Atlanta, Georgia, 3-5 Dec 2014. Published in: 2014 IEEE International Workshop on Information Forensics and Security (WIFS) pp. 209-214. doi:10.1109/WIFS.2014.7084329
Leyva, Roberto, Santos, Geise, Rocha, Anderson, Sanchez Silva, Victor and Li, Chang-Tsun (2018) Accelerometer dense trajectories for activity recognition and people identification. In: 2019 7th International Workshop on Biometrics and Forensics (IWBF), Cancun, Mexico, Mexico, 2-3 May 2019 ISBN 9781728106229. doi:10.1109/IWBF.2019.8739218
Li, Boda, Baris, Gabriele, Chan, Pak Hung, Rahman, Anima and Donzella, Valentina (2022) Testing ground-truth errors in an automotive dataset for a DNN-based object detector. In: 2022 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME), Maldives, 16-18 Nov 2022. Published in: 2022 International Conference on Electrical, Computer, Communications and Mechatronics Engineering (ICECCME) ISBN 9781665470957. doi:10.1109/ICECCME55909.2022.9988623
Li, Chang-Tsun (2009) Source camera identification using enhanced sensor pattern noise. In: 16th IEEE International Conference on Image Processing, Cairo, Egypt, November 07-10, 2009. Published in: 2009 16th IEEE International Conference in Image Procesing, Vols. 1-6 pp. 1493-1496. ISBN 978-1-4244-5653-6. doi:10.1109/ICIP.2009.5414615
Li, Chang-Tsun (2010) Unsupervised classification of digital images using enhanced sensor pattern noise. In: International Symposium on Circuits and Systems Nano-Bio Circuit Fabrics and Systems (ISCAS 2010), Paris, France, 30 May - 02 Jun 2010 . Published in: IEEE International Symposium on Circuits and Systems. Proceedings pp. 3429-3432. doi:10.1109/ISCAS.2010.5537850 ISSN 0271-4302.
Li, Chang-Tsun and Anand, Sarabjot Singh (2007) DIVA : A variance-based clustering approach for multi-type relational data. In: Proceedings of the 16th ACM Conference on Conference on Information and Knowledge Management (CIKM'07), Lisbon, Portual, 6-9 Nov 2007. Published in: Proceedings of the 16th ACM Conference on Conference on Information and Knowledge Management (CIKM'07) pp. 147-156. ISBN 9781595938039. doi:10.1145/1321440.1321463
Li, Chang-Tsun and Li, Yue (2010) Digital camera identification using colour-decoupled photo response non-uniformity noise pattern. In: International Symposium on Circuits and Systems Nano-Bio Circuit Fabrics and Systems (ISCAS 2010), Paris, France, 30 May - 02 Jun 2010 . Published in: IEEE International Symposium on Circuits and Systems. Proceedings pp. 3052-3055. doi:10.1109/ISCAS.2010.5537994 ISSN 0271-4302.
Li, Chang-Tsun and Li, Yue (2008) Random index modulation based fragile watermarking scheme for authenticating colour images. In: 4th International Conference on Intelligent Information Hiding and Multimedia Signal Processing, Harbin, China, Aug 15-17 2008. Published in: Proceedings of the 4th International Conference on Intelligent Information Hiding and Multimedia Signal Processing pp. 16-19. ISBN 9780769532783. doi:10.1109/IIH-MSP.2008.329
Li, Chang-Tsun and Li, Yue (2007) Steganographic method for colour image using expandable progressive exponential clustering. In: IEEE International Conference on Digital Ecosystems and Technologies, Cairns, Australia, 21-23 Feb 2007. Published in: Inaugural IEEE-IES Digital EcoSystems and Technologies Conference, 2007. DEST '07 pp. 495-500. ISBN 9781424404674. doi:10.1109/DEST.2007.372027
Li, Chang-Tsun and Wilson, Roland (1997) Textured image segmentation using multiresolution Markov random fields and a two-component texture model. In: 10th Scandinavian Conference on Image Analysis - Across the Borders: Future Directions in Image Analysis (SCIA 97), Lappeenranta, Finland, 09-11 Jun 1997. Published in: SCIA '97 - Proceedings of the 10th scandinavian conference on image analysis, vols 1 and 2 pp. 425-430. ISBN 9789517641456.
Li, Chuan, Hutchins, David A. and Green, Roger (2009) Short range ultrasonic communications in air using quadrature modulation. In: International Conference on Wireless Information Networks and Systems, Milan, Italy, July 07-10, 2009. Published in: WINSYS 2009: Proceedings of the International Conference on Wireless Information Networks and Systems pp. 100-104. ISBN 978-989-674-008-5. doi:10.1109/TUFFC.2009.1289
Li, Junyu, He, Ligang, Ren, Shenyuan and Mao, Rui (2020) Developing a loss prediction-based asynchronous stochastic gradient descent algorithm for distributed training of deep neural networks. In: 49th International Conference on Parallel Processing (ICPP2020), Virtual conference, 17-20 Aug 2020. Published in: ICPP '20: 49th International Conference on Parallel Processing - ICPP ISBN 9781450388160. doi:10.1145/3404397.3404432
Li, Ruizhe, Kotropoulos, C., Li, Chang-Tsun and Guan, Yu (2015) Random subspace method for aource camera identification. In: IEEE International Workshop on Machine Learning for Signal Processing (MLSP'15), Boston, USA, 17-20 Sept 2015. Published in: 2015 IEEE 25th International Workshop on Machine Learning for Signal Processing (MLSP)
Li, Ruizhe, Li, Chang-Tsun and Guan, Yu (2015) Incremental updating feature extracion for camera identification. In: IEEE International Conference on Image Processing (ICIP), Quebec City, Canada, 27-30 Sep 2015. Published in: 10.1109/ICIP.2015.7350813 pp. 324-328.
Li, Ruizhe, Li, Chang-Tsun and Guan, Yu (2015) A compact representation of sensor fingerprint for camera identification and fingerprint matching. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP 2015), South Brisbane, QLD, 19-24 Apr 2015. Published in: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP 2015) doi:10.1109/ICASSP.2015.7178276
Li, Ruizhe, Li, Chang-Tsun and Guan, Yu (2014) A reference estimator based on composite sensor pattern noise for source device identification. In: Media Watermarking, Security, and Forensics 2014, San Francisco, California, USA, 2 Feb 2014. Published in: Media Watermarking, Security, and Forensics, Volume 9028 ISBN 9780819499455. doi:10.1117/12.2038651 ISSN 0277-786X.
Li, Tao and Anand, Sarabjot Singh (2008) Automated taxonomy generation for summarizing multi-type relational datasets. In: 2008 International Conference on Data Mining (DMIN 2008), Las Vegas, USA, 14 Jul 2008. Published in: Proceedings of The 2008 International Conference on Data Mining (DMIN 2008) pp. 571-577.
Li, Tao and Anand, Sarabjot Singh (2008) Multi-type relational clustering approaches : current state-of-the-art and new directions. In: International Conference on Intelligent Networks and Intelligent Systems (ICINIS 2008), Wuhan, China, 1-3 November 2008. Published in: The First International Conference on Intelligent Networks and Intelligent Systems doi:10.1107/S1600536813033801
Li, Xiangwei, Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2018) A time-multiplexed FPGA overlay with linear interconnect. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 19–23 Mar 2018. Published in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) doi:10.23919/DATE.2018.8342171
Li, Xiangwei, Vipin, Kizheppatt, Maskell, Douglas L., Fahmy, Suhaib A. and Jain, Abhishek Kumar (2020) High throughput accelerator interface framework for a linear time-multiplexed FPGA overlay. In: IEEE International Symposium on Circuits and Systems, Seville, Spain, 17–20 May 2020. Published in: 2020 IEEE International Symposium on Circuits and Systems (ISCAS) ISBN 9781728133201. doi:10.1109/ISCAS45731.2020.9181072 ISSN 2158-1525.
Li, XuQin, Ramirez, Carlos, Hines, Evor, Leeson, Mark S., Purnell, Phil and Pharaoh, Mark W. (2008) Pattern recognition of fiber-reinforced plastic failure mechanism using computational intelligence techniques. In: International Joint Conference on Neural Networks, Hong Kong, China, Jun 01-08, 2008. Published in: Proceedings of the 2008 IEEE International Joint Conference on Neural Networks, Vol.1-8 pp. 2340-2345. ISBN 978-1-4244-1820-6. doi:10.1109/IJCNN.2008.4634122 ISSN 1098-7576.
Li, Zhenyu, Davis, James A. and Jarvis, Stephen A. (2018) Optimizing machine learning on Apache Spark in HPC environments. In: 2018 IEEE/ACM Machine Learning in HPC Environments (MLHPC), Dallas, TX, 11-16 Nov 2018. Published in: 2018 IEEE/ACM Machine Learning in HPC Environments (MLHPC) ISBN 9781728101811. doi:10.1109/MLHPC.2018.8638643
Li, Zhenyu, Davis, James A. and Jarvis, Stephen A. (2017) An efficient task-based all-reduce for machine learning applications. In: Machine Learning on HPC Environments, ACM New York, NY, USA, 12-17 Nov 2017. Published in: Proceedings of the Machine Learning on HPC Environments (MLHPC'17) ISBN 9781450351379. doi:10.1145/3146347.3146350
Li, Zhenyu and Jarvis, Stephen A. (2018) MapRDD : finer grained resilient distributed dataset for machine learning. In: BeyondMR’18 : Algorithms and Systems for MapReduce and Beyond, Houston, TX, USA, 15 Jun 2018. Published in: Proceedings of the 5th ACM SIGMOD Workshop on Algorithms and Systems for MapReduce and Beyond ISBN 9781450357036. doi:10.1145/3206333.3206335
Liang, Bin, Chen, Zixiao, Gui, Lin, He, Yulan, Yang, Min and Xu, Ruifeng (2022) Zero-shot stance detection via contrastive learning. In: WWW '22: The ACM Web Conference, Online, hosted Lyon, France, 25–29 Apr 2022. Published in: WWW '22: Proceedings of the ACM Web Conference 2022 pp. 2738-2747. ISBN 9781450390965. doi:10.1145/3485447.3511994
Liang, Bin, Fu, Yonghao, Gui, Lin, Yang, Min, Du, Jiachen, He, Yulan and Xu, Ruifeng (2021) Target-adaptive graph for cross-target stance detection. In: The Web conference 2021, Virtual conference, 12-23 Apr 2021. Published in: Proceedings of Web Conference 2021 pp. 3453-3464. ISBN 9781450383127. doi:10.1145/3442381.3449790
Liang, Bin, Lou, Chenwei, Li, Xiang, Yang, Min, Gui, Lin, He, Yulan, Pei, Wenjie and Xu, Ruifeng (2022) Multi-modal sarcasm detection via cross-modal graph convolutional network. In: The 60th Annual Meeting of the Association for Computational Linguistics (ACL), Dublin, Ireland, 22-27 May 2022. Published in: Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), 1 pp. 1767-1777. doi:10.18653/v1/2022.acl-long.124
Liang, Bin, Su, Hang, Yin, Rongdi, Gui, Lin, Yang, Min, Zhao, Qin, Yu, Xiaoqi and Xu, Ruifeng (2021) Beta distribution guided aspect-aware graph for aspect category sentiment analysis with affective knowledge. In: The 2021 Conference on Empirical Methods in Natural Language Processing (EMNLP 2021), Online ; Punta Cana, Dominican Republic, 7–11 Nov 2021. Published in: Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing pp. 208-218.
Liang, Bin, Yin, Rongdi, Gui, Lin, Du, Jiachen, He, Yulan and Xu, Ruifeng (2020) Aspect-invariant sentiment feature learning : adversarial multi-task learning for aspect-based sentiment analysis. In: 28th ACM International Conference on Information and Knowledge Management (CIKM), Virtual conference, 18-23 Oct 2020. Published in: CIKM '20: Proceedings of the 29th ACM International Conference on Information & Knowledge Management pp. 825-834. ISBN 9781450368599. doi:10.1145/3340531.3411868
Liang, Bin, Yin, Rongdi, Gui, Lin, Du, Jiachen and Xu, Ruifeng (2020) Jointly learning aspect-focused and inter-aspect relations with graph convolutional networks for aspect sentiment analysis. In: COLING 2020, Barcelona, Spain (Online), 8-13 Dec 2020. Published in: Proceedings of the 28th International Conference on Computational Linguistics pp. 150-161. doi:10.18653/v1/2020.coling-main.13
Liang, Bin, Zhu, Qinlin, Li, Xiang, Yang, Min, Gui, Lin, He, Yulan and Xu, Ruifeng (2022) JointCL : a joint contrastive learning framework for zero-shot stance detection. In: The 60th Annual Meeting of the Association for Computational Linguistics (ACL), Dublin, Ireland, 22-27 May 2022. Published in: Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), 1 pp. 81-91. doi:10.18653/v1/2022.acl-long.7
Lim Choi Keung, Hélène Niuklan, Cao, J., Spooner, Daniel P., Jarvis, Stephen A. and Nudd, G. R. (2002) Grid information services using software agents. In: Proceedings of the 18th Annual UK Performance Engineering Workshop (UKPEW'2002), University of Glasgow, UK, 10-11 Jul 2002 pp. 187-199.
Lim Choi Keung, Hélène Niuklan, Dyson, J. R. D., Jarvis, Stephen A. and Nudd, G. R. (2003) Predicting the performance of globus monitoring and discovery service (MDS-2) queries. In: International Workshop on Grid Computing (4th), Phoenix, AZ, 17 Nov 2003. Published in: Fourth International Workshop on Grid Computing, 2003. Proceedings. pp. 176-183. ISBN 076952026X.
Lim Choi Keung, Hélène Niuklan, Dyson, J. R. D., Jarvis, Stephen A. and Nudd, G. R. (2003) The globus monitoring and discovery service (MDS-2) : a performance analysis. In: Proceedings of the 19th Annual UK Performance Engineering Workshop (UKPEW'2003), University of Warwick, Coventry, UK, 9-10 Jul 2003 pp. 103-116.
Lim Choi Keung, Hélène Niuklan, Wang, L., Spooner, Daniel P., Jarvis, Stephen A., Jie, W. and Nudd, G. R. (2002) Grid resource management information services for scientific computing. In: Proceedings of the International Conference on Scientific and Engineering Computation (IC-SEC 2002), Singapore, 3-5 Dec 2002
Lim Choi Keung, Sarah Niukyun and Griffiths, Nathan (2008) Indirect recommendations for improved trust assessment. In: 11th International Workshop on Trust in Agent Societies (TRUST 2008), Estoril, Portugal, 12-13 May 2008 (Unpublished)
Lim Choi Keung, Sarah Niukyun, Khan, Mohammed Omar, Asadipour, Ali, Dereli, Huseyin, Zhao, Lei, Robbins, Tim and Arvanitis, Theodoros N. (2015) A query tool enabling clinicians and researchers to explore patient cohorts. In: 13th annual International Conference on Informatics, Management, and Technology in Healthcare (ICIMTH 2015), Athens, Greece, 9-11 July 2015. Published in: Studies in Health Technology and Informatics, Volume 213 pp. 57-60. ISBN 9781614995388. doi:10.3233/978-1-61499-538-8-57
Lim Choi Keung, Sarah Niukyun, Khan, Mohammed Omar, Perkins, Gavin D., Smith, Christopher Matthew, Murphy, Paddie and Arvanitis, Theodoros N. (2016) The role of informatics in prehospital emergency resuscitation and defibrillation. In: West Midlands Health Informatics Network (WIN) Conference 2016, University of Warwick, Coventry, UK, 26 Jan 2016. Published in: 2nd WIN Conference: Conference e-Proceedings pp. 27-28.
Lim Choi Keung, Sarah Niukyun, Ola, Bolanle, Davies, D. A., Rowland, Martin and Arvanitis, Theodoros N. (2015) West Midlands health informatics network : a perspective on education and training needs. In: 13th annual International Conference on Informatics, Management, and Technology in Healthcare (ICIMTH 2015), Athens, Greece, 9-11 July 2015. Published in: Studies in Health Technology and Informatics, Volume 213 pp. 103-106. ISBN 9781614995388. doi:10.3233/978-1-61499-538-8-103
Lim Choi Keung, Sarah Niukyun, Zhao, Lei, Despotou, George and Arvanitis, Theodoros N. (2018) Integrated healthcare in the C3-Cloud project pilots – a technical perspective. In: Digital Health & Care and Safety of Connected Health: Improvement & Applications Conference (DICOH’18), Coventry, UK, 3 May 2018 (Unpublished)
Lin, Shan and Li, Chang-Tsun (2017) End-to-end correspondence and relationship learning of mid-level deep features for person re-identification. In: International Conference on Digital Image Computing: Techniques and Applications (DICTA), Sydney, Australia, 39 Nov - 1 Dec 2017 ISBN 9781538628393. doi:10.1109/DICTA.2017.8227426
Lin, Xufeng and Li, Chang-Tsun (2016) Refining PRNU-based detection of image forgeries. In: IEEE Digital Media & Academic Forum, Santorini, Greece, 4-6 Jul 2016 ISBN 9781509010004. doi:10.1109/DMIAF.2016.7574937
Lin, Xufeng, Wei, Xingjie and Li, Chang-Tsun (2014) Two improved forensic methods of detecting contrast enhancement in digital images. In: Media Watermarking, Security, and Forensics 2014, San Francisco, California, 2 Feb 2014. Published in: Proceedings of Media Watermarking, Security, and Forensics , Volume 9028 doi:10.1117/12.2038644 ISSN 0277-786X.
Liu, Jinqi, Zhang, Sizhe and Wang, Jihong (2022) Development and comparison of two computational intelligence algorithms for electrical load forecasts with multiple time scales. In: 2022 Power System and Green Energy Conference (PSGEC), Shanghai, China, 25-27 Aug 2022. Published in: 2022 Power System and Green Energy Conference (PSGEC) pp. 637-643. ISBN 9781665499941. doi:10.1109/PSGEC54663.2022.9881169
Liu, Shuangyan and Joy, Mike (2011) Discovering student interactions with a collaborative learning forum that predict group collaboration problems. In: 4th International Conference of Education, Research and Innovation (iCERi 2011), Madrid, Spain, 14-16 Nov 2011. Published in: ICERI2011 Proceedings pp. 557-564. ISBN 9788461533244. ISSN 2340-1095.
Liu, Shuangyan and Joy, Mike (2011) A diagnostic mechanism and tool for analyzing group collaboration problems with online group work. In: World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education (E-Learn 2011), Honolulu, Hawaii, 18-21 Oct 2011. Published in: E-Learn 2011--World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education, Volume 2011 (Number 1). pp. 2613-2618.
Liu, Shuangyan, Joy, Mike and Griffiths, Nathan (2009) GAOOLE: a Gaia design of agent-based online collaborative learning environment. In: 8th European Conference on e-Learning, Univ Bari, Bari, Italy, 29-30 Oct 2009. Published in: Proceedings of the 8th European Conference on e-learning pp. 339-350. ISBN 9781906638528.
Liu, Shuangyan, Joy, Mike and Griffiths, Nathan (2008) Incorporating learning styles in a computer-supported collaborative learning model. In: International Workshop on Cognitive Aspects in Intelligent and Adaptive Web-based Education Systems (CIAWES 2008), Taipei, Taiwan, 27-31 Oct 2008 pp. 3-10. (Unpublished)
Liu, Shuangyan, Joy, Mike and Griffiths, Nathan (2010) Students’ perceptions of the factors leading to unsuccessful group collaboration. In: 10th IEEE International Conference on Advanced Learning Technologies (ICALT 2010), Sousse, Tunisia, 5-7 Jul 2010. Published in: Proceedings of the 2010 10th IEEE International Conference on Advanced Learning Technologies pp. 565-569. ISBN 9780769540559. doi:10.1109/ICALT.2010.161
Liu, Shuangyan, Joy, Mike and Griffiths, Nathan (2009) iGLS : intelligent grouping for online collaborative learning. In: IEEE International Conference on Advanced Learning Technologies, Riga, Latvia, July 15-17 2009. Published in: ICALT: 2009 IEEE International Conference on Advanced Learning Technologies pp. 364-368. ISBN 9781424444823. doi:10.1109/ICALT.2009.41
Liu, Yi, Zhang, Qiang, Zhang, Dingwen and Han, Jungong (2020) Employing deep part-object relationships for salient object detection. In: ICCV 2019. International conference on computer vision, Seoul, Korea, 27 Oct - 2 Nov 2019. Published in: 2019 IEEE/CVF International Conference on Computer Vision (ICCV) ISBN 9781728148045. doi:10.1109/ICCV.2019.00132 ISSN 1550-5499.
Lokshtanov, Daniel, Misra, Pranabendu, Sridharan, Ramanujan, Saurabh, Saket and Zehavi, Meirav (2021) FPT-approximation for FPT problems. In: ACM-SIAM Symposium on Discrete Algorithms (SODA) 2021, Virtual, 10-13 Jan 2021. Published in: Proceedings of the ACM-SIAM Symposium on Discrete Algorithms (SODA) ISBN 9781611976465. doi:10.1137/1.9781611976465.14
Lokshtanov, Daniel, Panolan, Fahad, Maadapuzhi Sridharan, Ramanujan and Saurabh, Saket (2017) Lossy kernelization. In: STOC 2017, 49th Annual ACM Symposium on the Theory of Computing, Montreal, 19-23 Jun 2017. Published in: STOC 2017 Proceedings of the 49th Annual ACM SIGACT Symposium on Theory of Computing 224-237 . ISBN 9781450345286. doi:10.1145/3055399.3055456
Lokshtanov, Daniel, Panolan, Fahad and Ramanujan, M. S. (2022) Backdoor sets on nowhere dense SAT. In: 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022), Paris, France, 4-8 Jul 2022. Published in: 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022), 229 91:1-91:20. ISBN 9783959772358. doi:10.4230/LIPIcs.ICALP.2022.91 ISSN 1868-8969.
Lokshtanov, Daniel, Panolan, Fahad and Ramanujan, Maadapuzhi Sridharan (2022) Backdoors to nowhere dense SAT. In: 49th EATCS International Colloquium on Automata, Languages and Programming (ICALP), Paris, France ; Virtual, 4-8 Jul 2022. Published in: 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022), 229 91:1-91:20. ISBN 9783959772358. doi:10.4230/LIPIcs.ICALP.2022.91 ISSN 1868-8969.
Lokshtanov, Daniel, Ramanujan, Maadapuzhi Sridharan and Saket, Saurabh (2018) When recursion is better than iteration : a linear-time algorithm for acyclicity with few error vertices. In: 29th Annual ACM-SIAM Symposium on Discrete Algorithms, SODA 2018, New Orleans, Louisiana, USA. , New Orleans, Louisiana, USA, 7-10 Jan 2018. Published in: Proceedings of the Twenty-Ninth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 1-18. ISBN 9781611975031. doi:10.1137/1.9781611975031.125
Lokshtanov, Daniel, Ramanujan, Maadapuzhi Sridharan, Saurabh, Saket and Zehavi, Meirav (2018) Reducing CMSO model checking to highly connected graphs. In: 45th International Colloquium on Automata, Languages, and Programming (ICALP 2018), Prague, 13 Jul 2018. Published in: 45th International Colloquium on Automata, Languages, and Programming (ICALP 2018), 107 135:1-135:14. ISBN 9783959770767. doi:10.4230/LIPIcs.ICALP.2018.135
Lombardi, S. (Sabrina), Anand, Sarabjot Singh and Gorgoglione, M. (2009) Context and customer behaviour in recommendation. In: Workshop on Context-Aware Recommender Systems (CARS-2009), New York, NY, USA, 25 Oct 2009 (Unpublished)
Low, M. J. (2010) Encouraging creators rather than consumers. In: Scratch@MIT 2010, MIT, Cambridge, USA, 11-14 Aug 2010 (Unpublished)
Low, M. J., Earl, Matthew W. M., Hall, Kieran, Cismarescu, Tudor, Dave , Mayur, Holmes, Alex and Reynolds, Nadine (2017) ExperiSensing our world. In: Scratch 2017 Conference, Bordeaux, France, 18-21 Jul 2017 (Unpublished)
Low, Margaret, Ettenheim, Susan and Musau, Max (2021) Turtlestitch : stitching in time and place. A creative approach to physical computing. In: Snap!Con 2021, Online, 29 Jul - 1 Aug 2021
Low, Margaret, Jemmett, Philip N. and Low, Robert (2023) How Turtlestitch makes maths matter. In: Snap!Con 2023, Citilab, Barcelona, Spain, 19-21 Jul 2023
Low, Margaret and Luckhurst, Helen (2022) The value of creative cross-curricular projects. In: Snap!Con 2022, Online , 4 -7 Aug 2022
Low, Margaret, van Montfort, Joek, Solomon, Cynthia, Mayr-Stalder, Andrea, Ettenheim, Susan, Millwood, Richard, Klimczak, Susan, Mong, Simon and Vatuk, Sunita (2021) Exploring coding stitching culture. In: Mozilla Festival, Online, 8-19 Mar 2021
Lozin, Vadim V. (2008) From tree-width to clique-width : excluding a unit interval graph. In: 19th International Symposium on Algorithms and Computations (ISAAC 2008), Gold Coast, Australia, Dec 15-17, 2008. Published in: Lecture Notes in Computer Science, Vol.5369 pp. 871-882. ISBN 978-3-540-92181-3. doi:10.1007/978-3-540-92182-0 ISSN 0302-9743.
Lu, Dawei, Barnes, Stuart and Minor, K. (2004) Removed and damaged area prediction in PMC laser machining using artificial neural networks. In: International Conference on the Behaviour of Materials in Machining, University of Warwick, 15th - 17th November 2004. Published in: Proceedings of the International Conference on the Behaviour of Materials in Machining pp. 290-297. (Unpublished)
Lu, Junru, Pergola, Gabriele, Gui, Lin, Li, Binyang and He, Yulan (2020) CHIME : Cross-passage hierarchical memory network for generative review question answering. In: The 28th International Conference on Computational Linguistics, Virtual conference, 8-13 Dec 2020. Published in: Proceedings of the 28th International Conference on Computational Linguistics pp. 2547-2560. doi:10.18653/v1/2020.coling-main.229
Lu, Junru, Tan, Xingwei, Pergola, Gabriele, Gui, Lin and He, Yulan (2022) Event-centric question answering via contrastive learning and invertible event transformation. In: EMNLP 2022, Abu Dhabi, United Arab Emirates, 7-11 Dec 2022. Published in: Findings of the Association for Computational Linguistics: EMNLP 2022 pp. 2377-2389.
Lu, Zhenjian and Oliveira, Igor C. (2021) An efficient coding theorem via probabilistic representations and its applications. In: International Colloquium on Automata, Languages and Programming (ICALP), Virtual conference, 12-16 Jul 2021. Published in: 48th International Colloquium on Automata, Languages, and Programming (ICALP 2021), 198 94:1-94:20. ISBN 9783959771955. doi:10.4230/LIPIcs.ICALP.2021.94 ISSN 1868-8969.
Lusczek, Elizabeth R., Vincent, Tyrone, Kulkarni, Vishwesh V., Mulier, Kristine and Beilman, Greg (2014) Carbohydrate prefeeding affects the metabolic response to polytrauma, hemorrhagic shock, and resuscitation in serum metabolic networks. In: International Conference on Complex Acute Illness. Published in: Journal of Critical Care, 28 (6). e35-e36. ISSN 0883-9441.
Ma, Qingzhi, Shanghooshabad, Ali M., Almasi, Mehrdad, Kurmanji, Meghdad and Triantafillou, Peter (2021) Learned approximate query processing : make it light, accurate and fast. In: Conference on Innovative Data Systems, (CIDR21), Virtual conference, 12-15 Jan 2021. Published in: Conference on Innovative Data Systems, (CIDR21)
Ma, Xiao and Bal, Jay (2009) Semantic industrial categorisation based on search engine index. In: IKE'09 - The 2009 International Conference on Information and Knowledge Engineering, Las Vegas, Nevada, USA, 13-16 July 2009. Published in: Proceedings of the 2009 International Conference on Information & Knowledge Engineering ISBN 1601321163.
Ma, Yiming, Sanchez, Victor and Guha, Tanaya (2022) FusionCount : efficient crowd counting via multiscale feature fusion. In: IEEE International Conference on Image Processing, Bordeaux, France, 16-19 Oct 2022. Published in: 2022 IEEE International Conference on Image Processing (ICIP) ISBN 9781665496216. doi:10.1109/ICIP46576.2022.9897322 ISSN 1522-4880.
Maad, Soha (2002) Novel software system development for finance. In: Business Information Technology Conference (BIT 2002), Manchester, UK
Maad, Soha, Mccarthy, J. B., Garbaya, S., Beynon, Meurig and Nagarajan, Rajagopal (2010) Service software engineering for innovative infrastructure for global financial services. In: 7th European, Mediterranean and Middle Eastern Conference on Information Systems, EMCIS 2010, Abu-Dhabi, 12-13 Apr 2010. Published in: Proceedings of the European, Mediterranean and Middle Eastern Conference on Information Systems: Global Information Systems Challenges in Management, EMCIS 2010 doi:10.1016/j.csda.2012.01.003 ISSN 9781902316802.
Maddock, Samuel, Cormode, Graham, Wang, Tianhao, Maple, Carsten and Jha, Somesh (2022) Federated boosted decision trees with differential privacy. In: ACM SIGSAC Conference on Computer and Communications Security (CCS ’22), Los Angeles, CA, USA, 7–11 Nov 2022. Published in: Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security (CCS ’22) pp. 2249-2263. ISBN 9781450394505. doi:10.1145/3548606.3560687
Mahesh, Shivakumar, Rangi, Anshuka, Xu, Haifeng and Tran-Thanh, Long (2024) Attacking multi-player bandits and how to robustify them. In: 23rd Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024), New Zealand, 6-10 May 2024. Published in: Proceedings of 23rd Conference on Autonomous Agents and Multiagent Systems (AAMAS 2024) (In Press)
Mahmoud, Samhar, Griffiths, Nathan, Keppens, Jeroen and Luck, Michael (Michael M.) (2010) An analysis of norm emergence in Axelrod's model. In: 8th European Workshop on Multi-Agent Systems, Paris, France, 16-17 Dec 2010 (Unpublished)
Mahmoud, Samhar, Griffiths, Nathan, Keppens, Jeroen and Luck, Michael (Michael M.) (2010) An analysis of norm emergence in Axelrods model. In: 5th International Workshop on Normative Multi-Agent Systems (NorMAS10), Leicester, UK, 29-30 Mar 2010
Mallinson, Andrew C., Beckingsale, David A., Gaudin, W. P., Herdman, J. A. and Jarvis, Stephen A. (2013) Towards portable performance for explicit hydrodynamics codes. In: 1st International Workshop on OpenCL (IWOCL 13), Atlanta, USA, 13 - 14 May 2013
Mallinson, Andrew C., Jarvis, Stephen A., Gaudin, W. P. and Herdman, J. A. (2014) Experiences at scale with PGAS versions of a Hydrodynamics application. In: PGAS '14 : 8th International Conference on Partitioned Global Address Space Programming Models, Eugene, Oregon, USA, 7-10 Oct 2014. Published in: Proceedings of the 8th International Conference on Partitioned Global Address Space Programming Models pp. 1-11. ISBN 9781450332477. doi:10.1145/2676870.2676873
Malmi, Lauri, Sheard, Judy, Kinnunen, Päivi, Simon, and Sinclair, Jane (2019) Computing education theories : what are they and how are they used? In: ICER '19 : fifteenth annual ACM International Computing Education Research (ICER) conference, Toronto ON, Canada, 12-14 Aug 2019. Published in: ICER '19 : Proceedings of the 2019 ACM Conference on International Computing Education Research pp. 187-197. ISBN 9781450361859. doi:10.1145/3291279.3339409
Maple, Carsten and Jackson, Jack (2018) Selecting effective blockchain solutions. In: Euro-Par 2018: Euro-Par 2018: Parallel Processing Workshops, 25 Oct 2018. Published in: European Conference on Parallel Processing, 11339 pp. 392-403. ISBN 9783030105488. doi:10.1007/978-3-030-10549-5_31
Marabelli, M. and Newell, Susan (2010) Responsiveness versus distinctiveness between organizational units in ERP implementation. In: 18th European Conference on Information Systems, Pretoria, South America, 6-9th of Jun, 2010. Published in: Proceedings of the 18th European Conference on Information Systems
Marchant, James M. and Griffiths, Nathan (2017) Limited observations and local information in convention emergence. In: 16th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2017), Sao Paulo, Brazil, 8–12 May 2017. Published in: Proceedings of 16th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2017) pp. 1628-1630.
Marchant, James M., Griffiths, Nathan and Leeke, Matthew (2015) Destabilising conventions : characterising the cost. In: Eighth IEEE International Conference on Self-Adaptive and Self-Organizing Systems, London, 8-12 Sep 2014. Published in: 2014 IEEE Eighth International Conference on Self-Adaptive and Self-Organizing Systems (SASO) pp. 139-144. ISBN 9781479953677. doi:10.1109/SASO.2014.26 ISSN 1949-3673.
Marchant, James M., Griffiths, Nathan and Leeke, Matthew (2015) Manipulating conventions in a particle-based topology. In: Coordination, Organizations, Institutions and Norms in Agent Systems Workshop : A workshop of the 12th International Conference on Autonomous Agents and Multiagent Systems : AAMAS2015, Istanbul, Turkey, 4-5 May 2015. Published in: Proceedings of the Coordination, Organizations, Institutions and Norms in Agent Systems Workshop
Martin, Andrew (2009) Objectification: appreciating the object-oriented paradigm through role-play. In: International Simulation and Gaming Association 40th Annual Conference, National University of Singapore, 29 Jun - 3 July, 2009. Published in: Proceeding of the International Simulation and Gaming Association 40th Annual Conference ISSN 978-981-08-3769-3.
Masood, Khalid, Rajpoot, Nasir M. (Nasir Mahmood), Rajpoot, Kashif and Qureshi, Hammad A. (2006) Hyperspectral colon tissue classification using morphological analysis. In: 2nd International Conference on Emerging Technologies, Peshawar, PAKISTAN, NOV 13-14, 2006. Published in: Second International Conference on Emerging Technologies 2006, Proceedings pp. 735-741. ISBN 978-1-4244-0503-9. doi:10.1109/ICET.2006.335947
Matias, Yossi, Rajpoot, Nasir M. (Nasir Mahmood) and Sahinalp, Suleyman Cenk (2008) Implementation and experimental evaluation of flexible parsing for dynamic dictionary based data compression. In: 2nd Workshop on Algorithm Engineering (WAE 1998), Saarbrucken, Germany, 20-22 Aug 2008. Published in: Proceedings WAE’98
Matias, Yossi, Rajpoot, Nasir M. (Nasir Mahmood) and Sahinalp, Suleyman Cenk (1999) The effect of flexible parsing for dynamic dictionary based data compression. In: IEEE Data Compression Conference (DCC 1999), Utah, US, 29-31 Mar 1999. Published in: Proceedings. Data Compression Conference, 1999. pp. 238-246. ISSN 076950096X.
Mattei, Nicholas, Turrini, Paolo and Zhydkov, Stanislav (2020) PeerNomination : relaxing exactness for increased accuracy in peer selection. In: Twenty-Ninth International Joint Conference on Artificial Intelligence, (IJCAI), 11-17 Jul 2020. Published in: Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, (IJCAI) pp. 393-399. doi:10.24963/ijcai.2020/55
Mazowiecki, Filip, Sinclair-Banks, Henry and Wegrzycki, Karol (2023) Coverability in 2-VASS with one unary counter is in NP. In: 26th International Conference on Foundations of Software Science and Computation Structures (FoSSaCS 2023), Paris, France, 22-27 Apr 2023. Published in: Foundations of Software Science and Computation Structures. FoSSaCS 2023, 13992 pp. 196-217. ISBN 9783031308284. doi:10.1007/978-3-031-30829-1_10
McCluskey, W., Anand, Sarabjot Singh and Borst, R. (1998) The application of hybrid intelligent appraisal techniques within the field of comparable sales analysis. In: International Association of Assessing Officers Annual Conference, 1998 pp. 293-304.
McCorry, Patrick, Shahandashti, Siamak F. and Hao, Feng (2017) A smart contract for boardroom voting with maximum voter privacy. In: 21st International Conference on Financial Cryptography and Data Security (FC17), Sliema, Malta, 3-7 Apr 2017. Published in: Financial Cryptography and Data Security. FC 2017., 10322 pp. 357-375. ISBN 9783319709710. doi:10.1007/978-3-319-70972-7_20
McMahon, Kathryn (2022) Intermediation power, aftermarkets and mobile ecosystems : the Apple app store litigation. In: EU Antitrust : Hot Topics & Next Steps, Prague, Czechia, 24-25 Jan 2022. Published in: EU Antitrust : Hot Topics & Next Steps : Proceedings of the International Conference pp. 93-108. ISBN 9788076300217.
McNamee, Joshua, Hatchett, Jonathan, Debattista, Kurt and Chalmers, Alan (2015) Live HDR video streaming on commodity hardware. In: SPIE Optics + Photonics, San Diego, 9-13 Aug 2015. Published in: SPIE Proceedings : Applications of Digital Image Processing XXXVIII, 9599 95990U. doi:10.1117/12.2187457 ISSN 0277-786X.
McNamee, Joshua, Hatchett, Jonathan, Debattista, Kurt and Chalmers, Alan (2014) Real time delivery of HDR video. In: CVMP 2014 : 11th European Conference on Visual Media Production , London, United Kingdom , 13-14 Nov 2014 . Published in: CVMP Proceedings
Meccawy, M., Celik, I., Cristea, Alexandra I., Stewart, C. and Ashman, H. (2006) Interoperable adaptive educational hypermedia : a web service definition. In: 6th IEEE International Conference on Advanced Learning Technologies (ICALT 2006), Kerkrade, The Netherlands, 5-7 Jul 2006. Published in: Sixth International Conference on Advanced Learning Technologies, 2006. pp. 639-641. ISBN 0769526322.
Mehri, Sima and Ciucu, Florin (2023) On a continuous-time martingale and two applications. In: 24th International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing, Washington DC, USA, 23-26 Oct 2023. Published in: Mobihoc 2023 ISBN 978145039926. doi:10.1145/3565287.3610275 (In Press)
Melillo, Paolo, Brancaleone, Claudia, Bracale, Marcello and Pecchia, Leandro (2011) A Matlab visual tool for analytic hierarchy process. In: ISAHP 2011, Sorrento, Naples, 15-18 Jun 2011. Published in: ISAHP 2011 (Unpublished)
Melnicuk, Vadim, Birrell, Stewart A., Crundall, Elizabeth and Jennings, P. A. (Paul A.) (2017) Employing consumer electronic devices in physiological and emotional evaluation of common driving activities. In: IEEE Intelligent Vehicles Symposium, Los Angeles, California, USA, 11-14 Jun 2017. Published in: 2017 IEEE Intelligent Vehicles Symposium (IV) pp. 1529-1534. ISBN 9781509048045. doi:10.1109/IVS.2017.7995926
Merino, Arturo, Micka, Ondrej and Mutze, Torsten (2021) On a combinatorial generation problem of Knuth. In: 32nd Annual ACM-SIAM Symposium on Discrete Algorithms, Virtual Conference, 10-13 Jan 2021. Published in: Proceedings of the 2021 ACM-SIAM Symposium on Discrete Algorithms (SODA) pp. 735-743. ISBN 9781611976465. doi:10.1137/1.9781611976465.46
Merino, Arturo and Mutze, Torsten (2021) Efficient generation of rectangulations via permutation languages. In: 37th International Symposium on Computational Geometry, University at Buffalo, The State University of New York, USA, 07-11 Jun 2021. Published in: 37th International Symposium on Computational Geometry (SoCG 2021), 189 pp. 1-18. ISBN 9783959771849. doi:10.4230/LIPIcs.SoCG.2021.54 ISSN 1868-8969.
Merino, Arturo and Mutze, Torsten (2023) Traversing combinatorial 0/1-polytopes via optimization. In: IEEE Symposium on Foundations of Computer Science (FOCS), Santa Cruz, CA, USA, 06-09 Nov 2023. Published in: 2023 IEEE 64th Annual Symposium on Foundations of Computer Science (FOCS) pp. 1282-1291. ISBN 9798350318944. doi:10.1109/FOCS57990.2023.00076 ISSN 1523-8288. (In Press)
Merino, Arturo, Mutze, Torsten and Namrata, (2023) Kneser graphs are Hamiltonian. In: 55th Annual ACM Symposium on Theory of Computing (STOC2023), Miami, Florida, USA, 20-23 Jun 2023 (In Press)
Miao, Yun, Lin, Zijia, Ding, Guiguang and Han, Jungong (2020) Shallow feature based dense attention network for crowd counting. In: AAAI-20 34th Conference on Artificial Intelligence, New York, New York, 7-12 Feb 2020. Published in: Proceedings of the AAAI Conference on Artificial Intelligence, 34 (07). pp. 11765-11772. doi:10.1609/aaai.v34i07.6848
Mirza, Olfat, Joy, Mike and Cosma, Georgina (2017) Style analysis for source code plagiarism detection – an analysis of a dataset of student coursework. In: 17th IEEE International Conference on Advanced Learning Technologies (ICALT 2017), Timisoara, Romania, 03-05 July 2017. Published in: Advanced Learning Technologies (ICALT), 2017 IEEE 17th International Conference on pp. 296-297. ISSN 2161-377X.
Mirza, Olfat, Joy, Mike and Cosma, Georgina (2017) Suitability of BlackBox dataset for style analysis in detection of source code plagiarism. In: 2017 Seventh International Conference on Innovative Computing Technology (INTECH), Luton, UK, 16-18 Aug 2017 pp. 90-94. ISBN 9781509039890. doi:10.1109/INTECH.2017.8102424
Mocnik, Rudi, Fowler, Daniel S. and Maple, Carsten (2023) Vehicular over-the-air software upgrade threat modelling. In: Cenex-LCV and Cenex-CAM 2023, UTAC Millbrook, UK, 06-07 Sep 2023
Molnar, Wolfgang Alfred and Nandhakumar, Joe (2008) Dealing with ambiguous and fluctuating requirements of embedded systems development: a case study. In: 29th International Conference on Information Systems, Paris, France, 2008. Published in: ICIS 2008 Proceedings (Paper 61).
Molnar, Wolfgang Alfred and Nandhakumar, Joe (2008) Embedded system development process: a quest from innovation to adaption. In: 16th European Conference on Information Systems, Galway, Ireland, 9th-11th Jun, 2008. Published in: Proceedings of the 16th European Conference on Information Systems
Montalvo, Soto , Martínez, Raquel, Fresno, Víctor, Delgado, Agustin, Zubiaga, Arkaitz and Berendsen, Richard (2017) Overview of the M-WePNaD task : multilingual web person name disambiguation at IberEval 2017. In: IberEval 2017 Evaluation of Human Language Technologies for Iberian Languages Workshop 2017, Murcia, Spain, 19 Sep 2017. Published in: Proceedings of the Second Workshop on Evaluation of Human Language Technologies for Iberian Languages , 1881 pp. 113-127. ISSN 1613-0073.
Moreno, Andrés and Joy, Mike (2006) Jeliot 3 in a demanding educational setting. In: Fourth International Program Visualization Workshop, Florence, Italy, 29-30 Jun 2006 pp. 48-53. (Unpublished)
Moreno, Andrés, Sutinen, Erkki and Joy, Mike (2014) Defining and evaluating conflictive animations for programming education. In: 45th ACM Technical Symposium on Computer Science Education (SIGCSE 2014), Atlanta, GA, 5-8 Mar 2014. Published in: Proceedings of the 45th ACM Technical Symposium on Computer Science Education (SIGCSE 2014) pp. 629-634. ISBN 9781450326056. doi:10.1145/2538862.2538888
Moreno, Andrés, Sutinen, Erkki and Joy, Mike (2014) Defining and evaluating conflictive animations for programming education : the case of Jeliot ConAn. In: SIGCSE 2014, Atlanta, GA, 5-8 Mar 2014 . Published in: Proceedings of the 45th ACM technical symposium on Computer science education pp. 629-634. ISBN 9781450326056. doi:10.1145/2538862.2538888
Morgan, Michael, Butler, Matthew, Thota, Nina and Sinclair, Jane (2018) How CS academics view student engagement. In: 23rd Annual ACM Conference on Innovation and Technology in Computer Science Education, Larnaca, Cyprus, 2-4 Jul 2018. Published in: Proceedings of the 23rd Annual ACM Conference on Innovation and Technology in Computer Science Education pp. 284-289. ISBN 9781450357074 . doi:10.1145/3197091.3197092
Morgan, Michael, Sinclair, Jane, Butler, Matthew, Thota, Neena, Fraser, Janet, Cross, Gerry and Jackova, Jana (2018) Understanding international benchmarks on student engagement : awareness and research alignment from a computer science perspective. In: 2017 ITiCSE Conference on Working Group Reports, Bologna, Italy, 3 - 5 Jul 2017. Published in: ITiCSE-WGR '17 : Proceedings of the 2017 ITiCSE Conference on Working Group Reports pp. 1-24. ISBN 9781450356275. doi:10.1145/3174781.3174782
Morgan, R. G. and Jarvis, Stephen A. (1995) Profiling large-scale lazy functional programs. In: High Performance Functional Computing, Denver, USA, 9-11 Apr 1995
Mouhtaropoulos, Antonis, Dimotikalis, Panagiotis and Li, Chang-Tsun (2013) Applying a digital forensic readiness framework : three case studies. In: IEEE International Conference on Technologies for Homeland Security (HST), Waltham, MA, 12-14 Nov 2013. Published in: 2013 IEEE International Conference on Technologies for Homeland Security (HST) pp. 217-223. ISBN 9781479939633. doi:10.1109/THS.2013.6699003
Mozaffari, Sajjad, Alipour Sormoli, Mreza, Koufos, Konstantinos, Lee, Graham and Dianati, Mehrdad (2023) Trajectory prediction with observations of variable-length for motion planning in highway merging scenarios. In: 26th IEEE International Conference on Intelligent Transportation Systems ITSC 2023, Bilbao, Bizkaia, Spain, 24-28 Sep 2023 (In Press)
Mudalige, Gihan R., Hammond, Simon D., Smith, J. A. and Jarvis, Stephen A. (2009) Predictive analysis and optimisation of pipelined wavefront computations. In: 23rd IEEE International Parallel and Distributed Processing Symposium, Rome, Italy, 23-29 May 2009. Published in: 2009 IEEE International Symposium on Parallel & Distributed Processing, Vols.1-5 pp. 1208-1215. ISBN 9781424437511. doi:10.1109/IPDPS.2009.5160882 ISSN 1530-2075.
Mudalige, Gihan R., Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2006) Predictive performance analysis of a parallel pipelined synchronous wavefront application for commodity processor cluster systems. In: IEEE International Conference on Cluster Computing, Barcelona, Spain, 25-28 Sep 2006. Published in: 2006 IEEE International Conference on Cluster Computing pp. 467-478. ISBN 9781424403271. doi:10.1109/CLUSTR.2006.311888 ISSN 1552-5244.
Mudalige, Gihan R., Vernon, Mary K. and Jarvis, Stephen A. (2008) A plug-and-play model for evaluating wavefront computations on parallel architectures. In: 22nd IEEE International Parallel and Distributed Processing Symposium (IPDPS 2008), Miami, FL, 14-18 Apr 2008. Published in: Proceedings of the 2008 IEEE International Parallel and Distributed Processing Symposium, Volume 1-8 pp. 1089-1102. ISBN 9781424416936. doi:10.1109/IPDPS.2008.4536243 ISSN 1530-2075.
Muhammad, Muhd Rosydi (2009) IT alignment in Malaysian public sector: e-Syariah as a case of study. In: 9th European Conference on e-Government, University of Westminister Business Sch, London, England, June 29-30, 2009. Published in: 9TH European Conference on e-government, Proceedings pp. 501-509. ISBN 978-1-906638-33-7.
Mullins, Andrew, Bowen, Adam, Wilson, Roland and Rajpoot, Nasir M. (2005) Estimating planar patches for light field reconstruction. In: British Machine Vision Conference (BMVC 2005), Oxford, UK, 5-8 Sep 2005. Published in: Proceedings of the British Machine Vision Conference 2005 ISBN 1901725294 . doi:10.5244/C.19.23
Munir, Farzeen, Minhas, Fayyaz ul Amir Afsar, Jalil, Abdul and Jeon, Moongu (2017) Real time eye tracking using Kalman extended spatio-temporal context learning. In: Second International Workshop on Pattern Recognition, Singapore, 1-3 May 2017. Published in: Second International Workshop on Pattern Recognition, 10443 254 - 258. ISBN 9781510613508. doi:10.1117/12.2280271
Munoz-Mendez, Fernando, Klemmer, Konstantin, Han, Ke and Jarvis, Stephen A. (2018) Community structures, interactions and dynamics in London’s bicycle sharing network. In: 7th International Workshop on Pervasive Urban Applications, UbiComp '18 ACM International Joint Conference on Pervasive and Ubiquitous Computing. Association for Computing Machinery (ACM)., Singapore, 08-12 Oct 2018. Published in: Proceedings of the 2018 ACM International Joint Conference and 2018 International Symposium on Pervasive and Ubiquitous Computing and Wearable Computers ISBN 9781450359665. doi:10.1145/3267305.3274156
Muntean, Cristina Hava, Muntean, Gabriel-Miro, McManis, J. and Cristea, Alexandra I. (2006) Authoring model for quality of experience-aware adaptive hypermedia systems. In: International Workshop on Authoring of Adaptive and Adaptable Hypermedia (A3H 2006), Dublin, Ireland, 20 Jun 2006
Murawski, Andrzej S., Ramsay, Steven J. and Tzevelekos, Nikos (2015) Bisimilarity in fresh-register automata. In: 30th Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), Kyoto, 6-10 Jul 2015. Published in: 2015 30th Annual ACM/IEEE Symposium on Logic in Computer Science LICS 2015 pp. 156-167. doi:10.1109/LICS.2015.24 ISSN 1043-6871.
Murawski, Andrzej S., Ramsay, Steven J. and Tzevelekos, Nikos (2015) Game semantic analysis of equivalence in IMJ. In: 13th International Symposium, ATVA 2015,, Shanghai, China, 12-15 Oct 2015. Published in: Automated Technology for Verification and Analysis, 9364 pp. 411-428. doi:10.1007/978-3-319-24953-7_30 ISSN 0302-9743.
Murawski, Andrzej S., Ramsay, Steven J. and Tzevelekos, Nikos (2015) A contextual equivalence checker for IMJ*. In: 13th International Symposium, ATVA 2015, Shanghai, China, 12-15 Oct 2015. Published in: Automated Technology for Verification and Analysis : Automated Technology for Verification and Analysis, 9364 pp. 234-240. ISBN 9783319249520. doi:10.1007/978-3-319-24953-7_19 ISSN 0302-9743.
Murawski, Andrzej S. and Tzevelekos, Nikos (2017) Higher-order linearisability. In: 28th International Conference on Concurrency Theory (CONCUR 2017), Berlin, Germany, 5-8 Sep 2017. Published in: 28th International Conference on Concurrency Theory (CONCUR 2017), 85 34:1-34:18. ISBN 9783959770484. doi:10.4230/LIPIcs.CONCUR.2017.34
Mustafee, Navonil and Taylor, Simon J. E. (2009) Speeding up simulation applications using WinGrid. In: 11th IEEE International Symposium on Distributed Simulation and Real-Time Applications, Chania, Greece, October 22-24, 2007. Published in: Concurrency and Computation: Practice & Experience, Vol.21 (No.11 Sp. Iss. SI). pp. 1504-1523. doi:10.1002/cpe.1401 ISSN 1532-0626.
Mustafee, Navonil and Taylor, Simon J. E. (2008) Supporting simulation in industry through the application of grid computing. In: 2008 Winter Simulation Conference, Miami, FL, Dec 07-10, 2008. Published in: Proceedings of the 2008 Winter Simulation Conference pp. 1077-1085. ISBN 978-1-4244-2707-9. doi:10.1109/WSC.2008.4736176 ISSN 0891-7736.
Mutze, Torsten and Nummenpalo, Jerri (2017) A constant-time algorithm for middle levels Gray codes. In: 28th Annual ACM-SIAM Symposium on Discrete Algorithms (SODA 2017), Barcelona, Spain, 16-19 Jan 2017. Published in: Proceedings of the 28th Annual ACM-SIAM Symposium on Discrete Algorithms (SODA 2017) pp. 2238-2253. ISBN 9781611974782. doi:10.1137/1.9781611974782.147
Mutze, Torsten, Nummenpalo, Jerri and Walczak, Bartosz (2018) Sparse Kneser graphs are Hamiltonian. In: 50th Annual ACM Symposium on the Theory of Computing (STOC), Los Angeles, 25-29 Jun 2018. Published in: Proceedings of the 50th Annual ACM Symposium on the Theory of Computing (STOC) pp. 912-919. ISBN 9781450355599. doi:10.1145/3188745.3188834
Mwasaga, M. N., Apiola, M., Suhonen, J. and Joy, Mike (2015) Integrating high performance computing into a Tanzanian IT engineering curriculum. In: 21st ICE/IEEE International Technology Management Conference (ICE 2015), Belfast, Northern Ireland , 22-24 Jun 2015. Published in: Proceedings of the 21st ICE/IEEE International Technology Management Conference (ICE 2015)
Mäses, Sten, Hallaq, Bilal and Maennel, Olaf (2017) Obtaining better metrics for complex serious games within virtualised simulation environments. In: 11th European Conference on Games Based Learning, Graz, Austria, 5-6 Oct 2017. Published in: Proceedings of 11th European Conference on Games Based Learning ISBN 9781911218579.
Neville, Daniel, Brill, James, Juzl, Joseph, Howell, Christopher Carl and Sanchez Silva, Victor (2014) Enhancing safety-critical message dissemination in WAVE. In: Tenth International Conference on Wireless and Mobile Communications: ICWMC 2014, Seville, Spain, 22-26 Jun 2014. Published in: Tenth International Conference on Wireless and Mobile Communications pp. 160-163. ISBN 9781612083476. ISSN 2308-4219.
Nguyen, Thi Tuyet Trinh, Le Thi, Hoai An and Doan, Xuan Vinh (2023) Optimizing Merkle tree structure for blockchain transactions by a DC programming approach. In: 15th International Conference on Computational Collective Intelligence, Budapest, Hungary, 27-29 Sep 2023. Published in: Computational Collective Intelligence, 14162 pp. 405-417. ISBN 9783031414558. doi:10.1007/978-3-031-41456-5_31 ISSN 2945-9133. (In Press)
Ni, Weiquan, Asheralieva, Alia, Maple, Carsten, Karim, Md Monjurul, Niyato, Dusit and Yan, Qiang (2022) Throughput-efficient blockchain for Internet-of-Vehicles. In: 2021 IEEE Globecom Workshops (GC Wkshps), Madrid, Spain, 07-11 Dec 2021. Published in: Proceedings of the 2021 IEEE Globecom Workshops (GC Wkshps) ISBN 9781665423908. doi:10.1109/GCWkshps52748.2021.9681973
Ni, Weiquan, Zhu, Shaoliang, Karim, Md Monjurul, Asheralieva, Alia, Kang, Jiawen, Xiong, Zehui and Maple, Carsten (2022) Lagrange coded federated learning (L-CoFL) model for Internet of Vehicles. In: IEEE 42nd International Conference on Distributed Computing Systems, Bologna, Italy, 10-13 Jul 2022. Published in: 2022 IEEE 42nd International Conference on Distributed Computing Systems (ICDCS) pp. 864-872. ISBN 9781665471787. doi:10.1109/icdcs54860.2022.00088 ISSN 1063-6927.
Novak, M., Kermek, D. and Joy, Mike (2018) Calibration of source-code similarity detection tools for objective comparisons. In: 41st International Convention on Information and Communication Technology, Electronics and Microelectronics, Opatija, Croatia, 21-25 May 2018. Published in: 2018 41st International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO) pp. 794-799. ISBN 9789532330953. doi:10.23919/MIPRO.2018.8400147
Nurse, Jason R. C., Buckley, Oliver, Legg, Philip A., Goldsmith, Michael, Creese, Sadie, Wright, Gordon R. T. and Whitty, Monica T. (2014) Understanding insider threat : a framework for characterising attacks. In: IEEE Security & Privacy Workshop, San Jose, CA, 17-18 May 2014. Published in: 2014 IEEE Security and Privacy Workshops (SPW) pp. 214-228. ISBN 9781479951048. doi:10.1109/SPW.2014.38
Nurse, Jason R. C. and Sinclair, Jane (2009) BOF4WSS: a business-oriented framework for enhancing web services security for e-business. In: 4th International Conference on Internet and Web Applications and Services, Venice, Italy, 24-28 May 2009. Published in: ICIW 2009. Fourth International Conference on Internet and Web Applications and Service pp. 286-291. ISBN 978-1-4244-3851-8. doi:10.1109/ICIW.2009.48
Nurse, Jason R. C. and Sinclair, Jane (2010) Evaluating the compatibility of a tool to support e-businesses' security negotiations. In: International Conference of Information Security and Internet Engineering, under World Congress on Engineering (WCE 2010), London, UK, 30 June - 2 July, 2010. Published in: Proceedings of the World Congress on Engineering 2010, Volume 1 pp. 438-443. ISBN 9789881701299.
Nurse, Jason R. C. and Sinclair, Jane (2012) Towards a model to support the reconciliation of security actions across enterprises. In: 2nd Workshop on Socio-Technical Aspects in Security and Trust (STAST), Cambridge, MA, USA, 29 June 2012. Published in: 2012 Workshop on Socio-Technical Aspects in Security and Trust (STAST) pp. 11-18. ISBN 9781467327480 . doi:10.1109/STAST.2012.11
Nurse, Jason R. C. and Sinclair, Jane (2010) A solution model and tool for supporting the negotiation of security decisions in e-business collaborations. In: 5th International Conference on Internet and Web Applications and Services (ICIW 2010), Barcelona, Spain, 9-15 May, 2010. Published in: Fifth International Conference on Internet and Web Applications and Services (ICIW) pp. 13-18. ISBN 9781424467280. doi:10.1109/ICIW.2010.10
Oakley, Joe and Ferhatosmanoglu, Hakan (2024) FSD-Inference : Fully Serverless Distributed Inference with scalable cloud communication. In: IEEE 40th International Conference on Data Engineering (ICDE) , Netherlands, 13-17 May 2024. Published in: Proceedings of 2024 IEEE 40th International Conference on Data Engineering (ICDE) (In Press)
Offord, Edward, Lutton, E. Josiah and Bretschneider, Till (2023) Cell membrane feature detection using graph neural networks. In: 20th IEEE International Symposium on Biomedical Imaging, Cartagena de Indias, Colombia, 18-21 Apr 2023. Published in: 2023 IEEE 20th International Symposium on Biomedical Imaging (ISBI) ISBN 9781665473583. doi:10.1109/ISBI53787.2023.10230695 ISSN 1945-8452.
Okamoto, Toshio, Inoue, H., Cristea, Alexandra I., Kayama, Mizue, Matsui, T. and Seki, K. (2001) A cooperative linkage between University and industry via an internet distance education system. In: 2nd IEEE International Conference on Advanced Learning Technologies (ICALT 2001), Madison, USA, 6-8 Aug 2001. Published in: IEEE International Conference on Advanced Learning Technologies, 2001. Proceedings. ISBN 0769510132. doi:10.1109/ICALT.2001.943964
Okamoto, Toshio, Kayama, Mizue and Cristea, Alexandra I. (2001) Consideration of building a common platform of collaborative learning environment. In: International Conference on Computer in Education (ICCE 2001), Seoul, Korea pp. 800-807.
Okamoto, Toshio, Kayama, Mizue and Cristea, Alexandra I. (2001) Proposal of a collaborative learning standardization. In: 2nd IEEE International Conference on Advanced Learning Technologies (ICALT 2001), Madison, USA, 6-8 Aug 2001. Published in: IEEE International Conference on Advanced Learning Technologies, 2001. Proceedings. pp. 267-268. ISBN 0769510132. doi:10.1109/ICALT.2001.943919
Okamoto, Toshio, Kayama, Mizue, Cristea, Alexandra I. and Seki, K. (2001) The distance ecological model to support self/collaborative-learning in the internet environment. In: 2nd IEEE International Conference on Advanced Learning Technologies (ICALT 2001), Madison, USA, 6-8 Aug 2001. Published in: IEEE International Conference on Advanced Learning Technologies, 2001. Proceedings. pp. 418-421. ISBN 0769510132. doi:10.1109/ICALT.2001.943963
Oliveira, Igor C. (2019) Randomness and intractability in Kolmogorov complexity. In: 46th International Colloquium on Automata, Languages, and Programming (ICALP 2019), Patras, Greece, 9-12 Jul 2019. Published in: Proceedings of the 46th International Colloquium on Automata, Languages, and Programming (ICALP), 132 32:1-32:14. ISBN 9783959771092. doi:10.4230/LIPIcs.ICALP.2019.32 ISSN 1868-8969.
Oliveira, Igor C., Lu, Zhenjian and Zimand, Marius (2022) Optimal coding theorems in time-bounded Kolmogorov complexity. In: 49th EATCS International Colloquium on Automata, Languages and Programming (ICALP), Paris ; Online, 4-8 Jul 2022. Published in: 49th International Colloquium on Automata, Languages, and Programming (ICALP 2022), 229 92:1-92:14. ISBN 9783959772358. doi:10.4230/LIPIcs.ICALP.2022.92 ISSN 1868-8969.
Oliveira, Igor C., Pich, Jan and Santhanam, Rahul (2019) Hardness magnification near state-of-the-art lower bounds. In: 34th Computational Complexity Conference (CCC 2019), New Brunswick, NJ, USA, 18-20 Jul 2019. Published in: Proceedings of the 33rd Computational Complexity Conference, 137 27:1-27:29. ISBN 9783959771160. doi:10.4230/LIPIcs.CCC.2019.27 ISSN 1868-8969.
Oliveira, Igor C. and Santhanam, Rahul (2015) Majority is incompressible by AC0P circuits. In: Proceedings of the 30th Conference on Computational Complexity, Portland, Oregon, USA., 17-19 Jun 2015. Published in: Leibniz International Proceedings in Informatics (LIPIcs) pp. 124-157. doi:10.4230/LIPIcs.CCC.2015.124 ISSN 1868-8969.
Oliveira, Igor C. and Santhanam, Rahul (2018) Pseudo-derandomizing learning and approximation. In: 22nd International Conference on Randomization and Computation (RANDOM), Princeton, NJ, USA, 20-22 Aug 2018. Published in: Proceedings of the 22nd International Conference on Randomization and Computation (RANDOM), 116 55:1-55:19. ISBN 9783959770699. doi:10.4230/LIPIcs.APPROX-RANDOM.2018.55 ISSN 1868-8969.
Oliveira, Igor C., Santhanam, Rahul and Srinivasan, Srikanth (2019) Parity helps to compute majority. In: 34th Computational Complexity Conference (CCC 2019), New Brunswick, NJ, USA, 18-20 Jul 2019. Published in: Proceedings of the 34th Computational Complexity Conference (CCC), 137 23:1-23:17. ISBN 9783959771160. doi:10.4230/LIPIcs.CCC.2019.23 ISSN 1868-8969.
Oliveira, Igor C., Santhanam, Rahul and Tell, Roei (2018) Expander-based cryptography meets natural proofs. In: 10th Innovations in Theoretical Computer Science Conference (ITCS), San Diego, California, USA., 10-12 Jan 2019. Published in: Proceedings of the 33rd Computational Complexity Conference, 124 18:1-18:14. ISBN 9783959770958. doi:10.4230/LIPIcs.ITCS.2019.18 ISSN 1868-8969.
Oliveira, Luis, Bradley, Callum, Birrell, Stewart A., Davies, Andy, Tinworth, Neil and Cain, Rebecca (2017) Understanding passengers’ experiences of train journeys to inform the design of technological innovations. In: International Association of Societies of Design Research (IASDR) Conference, Cincinnati, USA, 31 Oct 17 - 03 Nov 2017. Published in: Proceedings of International Association of Societies of Design Research (IASDR) Conference
Onah, Daniel F. O. and Sinclair, Jane (2015) Learning as a perspective of reflective practice in computer science. In: 22nd Annual Conference of the Association for Learning Technology (ALT2015), Manchester, United Kingdom, 8–10 Sep 2015
Owenson, Andrew M B, Wright, Steven A., Bunt, Richard A., Jarvis, Stephen A., Ho, Y. K. and Street, Matthew (2018) Developing and using a geometric multigrid, unstructured grid mini-application to assess many-core architectures. In: 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, Cambridge, UK, 21-23 Mar 2018. Published in: 2018 26th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP) ISBN 9781538649763. doi:10.1109/PDP2018.2018.00018
Oyelere, Solomon S., Suhonen, Jarkko, Shonola, Shaibu A. and Joy, Mike (2016) Discovering students mobile learning experiences in higher education in Nigeria. In: Frontiers in Education 2016 Conference, Erie, PA, 12-15 Oct 2016. Published in: 2016 IEEE Frontiers in Education Conference (FIE) ISBN 9781509017904.
Palmer, Nick and Goldberg, Paul W. (2007) PAC-learnability of probabilistic deterministic finite state automata in terms of variation distance. In: 16th Annual International Conference on Algorithmic Learning Theory (ALT 2005), Singapore, 08-11 Oct 2005. Published in: Theoretical Computer Science, Vol.387 (No.1). pp. 18-31. doi:10.1016/j.tcs.2007.07.023 ISSN 0304-3975.
Papaefstathiou, E., Kerbyson, D. J. and Nudd, G. R. (1994) A layered approach to parallel software performance prediction : a case study. In: 1994 EUROSIM Conference on Massively Parallel Processing Applications and Development, Delft, The Netherlands, 21-23 Jun 1994. Published in: Massively Parallel Processing Applications and Development pp. 617-624. ISBN 0444817840.
Park, H., Bhalerao, Abhir, Martin, Graham R. and Yu, Andy C. (2006) An affine symmetric approach to natural image compression. In: Proceedings of the 2nd International Conference on Mobile Multimedia Communications (MOBIMEDIA'06), Sardinia, Italy, 18-20 Sep 2006. Published in: Proceeding MobiMedia '06 Proceedings of the 2nd international conference on Mobile multimedia communications (Article Number 33). ISBN 1595935177. doi:10.1145/1374296.1374332
Park, H., Martin, Graham R. and Yu, Andy C. (2005) Lossless contour representation using efficient multiple grid chain coding. In: Proceedings of the 13th European Signal Processing Conference (EURASIP 2005), Antalya, Turkey, 4-8 Sep 2005. Published in: 13th European Signal Processing Conference (EUSIPCO 2005) pp. 1131-1134. ISBN 9781604238211.
Park, Heechan, Martin, Graham R. and Yu, Andy C. (2005) Fast motion estimation based on the redundant wavelet for 2D+T wavelet coder. In: Proceedings of the 9th International Workshop of Visual Content Processing and Representation (VLBV 2005), Sardinia, Italy, 15-16 Sep 2005
Paterson, Michael S., Peres, Yuval, Thorup, Mikkel, Winkler, Peter and Zwick, Uri (2008) Maximum overhang. In: 19th ACM-SIAM Symposium on Discrete Algorithms, San Francisco, CA, Jan 20-22, 2008. Published in: Proceedings of the 19th Annual ACM - SIAM Symposium on Discrete Algorithms pp. 756-765. ISBN 978-0-898716-47-4.
Paterson, Michael S. and Srinivasan, Aravind (1995) Contention resolution with bounded delay. In: 36th Annual Symposium on Foundations of Computer Science (FOCS 95), Milwaukee, WI, 23-25 Oct 1995. Published in: 36th Annual Symposium on Foundations of Computer Science, 1995. Proceedings. pp. 104-113. ISBN 0818671831. ISSN 0272-5428.
Paterson, Michael S. and Zwick, Uri (2006) Overhang. In: 17th ACM-SIAM Symposium on Discrete Algorithms, Miami, FL, JAN, 2006. Published in: Proceedings of the Seventeenth Annual ACM-SIAM Symposium on Discrete Algorithms pp. 231-240. ISBN 978-0-89871-605-4. doi:10.1145/1109557.1109584 ISSN 9780898716054.
Patterson, D., Dubitzky, W., Anand, Sarabjot Singh and Hughes, J.G. (1998) On the automation of case base development from large databases. In: Working Notes of the AAAI Workshop on CBR Integrations, 1998
Pecchia, Leandro and Borsci, Simone (2013) Establishing the minimum number of responders for an analytic hierarchy process (AHP) study. In: ISAHP2013, Kuala Lumpur, Malaysia, 23-26 Jun 2013 (Unpublished)
Pecchia, Leandro, Martin, J. L., Craven , M. and Morgan, S. P. (2013) The Analytic Hierarchy Process (AHP) and the user need elicitation in the Health Technology Assessment (HTA). In: ISAHP2013, Kuala Lumpur, Malaysia, 23-26 Jun 2013 (Unpublished)
Peinelt, Nicole, Rei, Marek and Liakata, Maria (2021) GiBERT : enhancing BERT with linguistic information using a lightweight gated injection method. In: 2021 Conference on Empirical Methods in Natural Language Processing, Punta Cana, Dominican Republic, 7-11 Nov 2021. Published in: Findings of the Association for Computational Linguistics: EMNLP 2021 pp. 2322-2336. doi:10.18653/v1/2021.findings-emnlp.200
Peled, Doron and Qu, Hongyang (2006) Enforcing concurrent temporal behaviors. In: 2nd International Conference on Automated Technology for Verification and Analysis (ATVA 2004), Taipei, Taiwan, Nov, 2004. Published in: International Journal of Foundations of Computer Science, Vol.17 (No.4). pp. 743-761. doi:10.1142/S012905410600408X ISSN 0129-0541.
Peled, Doron and Qu, Hongyang (2006) Time unbalanced partial order. In: 5th International Workshop on Formal Approaches to Software Testing (FATES 2005), Edinburgh, SCOTLAND, JUL 11, 2005. Published in: FORMAL APPROACHES TO SOFTWARE TESTING, 3997 pp. 152-169. ISBN 3-540-34454-3. doi:10.1007/11759744_11 ISSN 0302-9743.
Pennycook, Simon J., Hammond, Simon D., Mudalige, Gihan R. and Jarvis, Stephen A. (2010) Experiences with porting and modelling wavefront algorithms on many-core architectures. In: Daresbury GPU Workshop 2010, Daresbury, UK, 28-29 Sept 2010 (Unpublished)
Pennycook, Simon J., Hammond, Simon D., Mudalige, Gihan R. and Jarvis, Stephen A. (2010) Performance analysis of a hybrid MPI/CUDA implementation of the NAS-LU benchmark. In: 1st International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computing Systems (PMBS 10), New Orleans, LA, USA, 13-19, Nov 2010. Published in: ACM SIGMETRICS Performance Evaluation Review, Volume 38 (Number 4). pp. 23-29. ISSN 0163-5999.
Pennycook, Simon J., Hughes, C. J., Smelyanskiy, M. and Jarvis, Stephen A. (2013) Exploring SIMD for molecular dynamics, using Intel Xeon processors and Intel Xeon Phi coprocessors. In: IEEE International Parallel & Distributed Processing Symposium, Boston, MA, 20-24 May 2013. Published in: 2013 IEEE 27th International Symposium on Parallel & Distributed Processing (IPDPS) pp. 1085-1097. ISBN 9781467360661. ISSN 1530-2075.
Pennycook, Simon J. and Jarvis, Stephen A. (2012) Developing performance-portable molecular dynamics kernels in Open CL. In: 3rd International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computing Systems, International Conference for High Performance Computing, Networking, Storage and Analysis, Salt Lake City, UT, 10-16 Nov 2012. Published in: 2012 SC Companion : High Performance Computing, Networking, Storage and Analysis (SCC) pp. 386-395. ISBN 9781467362184 .
Pennycook, Simon J., Mudalige, Gihan R., Hammond, Simon D. and Jarvis, Stephen A. (2010) Parallelising wavefront applications on general-purpose GPU devices. In: 26th UK Performance Engineering Workshop (UKPEW10), University of Warwick, Coventry, 8-9 July 2010. Published in: Proceedings of the 26th UK Performance Engineering Workshop (UKPEW 2010) pp. 111-118. ISBN 9780955970320.
Perez-Daniel, K., Garcia-Ugalde, F. and Sanchez Silva, Victor (2019) Scene-based imperceptible-visible watermarking for HDR video content. In: 2019 International Workshop on Biometric and Forensics, Cancun, Mexico, 2-3 May 2019 ISBN 9781728106229. doi:10.1109/IWBF.2019.8739184
Pergola, Gabriele, Gui, Lin and He, Yulan (2021) A disentangled adversarial neural topic model for separating opinions from plots in user reviews. In: 2021 Annual Conference of the North American Chapter of the Association for Computational Linguistics, Online, 6–11 Jun 2021. Published in: Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies pp. 2870-2883.
Pergola, Gabriele, Kochkina, Elena, Gui, Lin, Liakata, Maria and He, Yulan (2021) Boosting low-resource biomedical QA via entity-aware masking strategies. In: EACL 2021: The 16th Conference of the European Chapter of the Association for Computational Linguistics, Virtual conference, 19-23 Apr 2021. Published in: Proceedings of the 16th Conference of the European Chapter of the Association for Computational Linguistics: Main Volume pp. 1977-1985. doi:10.18653/v1/2021.eacl-main.169
Perks, O. F. J., Bird, Robert F., Beckingsale, David A. and Jarvis, Stephen A. (2012) Exploiting spatiotemporal locality for fast call stack traversal. In: Second Workshop on High-performance Infrastructure for Scalable Tools (WHIST 2012), Venice, Italy, 29 June 2012. Published in: 26th International Conference on Supercomputing
Perks, O. F. J., Hammond, Simon D., Pennycook, Simon J. and Jarvis, Stephen A. (2010) Should we worry about memory loss? In: 1st International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computing Systems (PMBS 10), Held in conjunction with IEEE/ACM Supercomputing 2010 (SC'10), New Orleans, LA, USA, 13-19 Nov 2010
Perks, O. F. J., Hammond, Simon D., Pennycook, Simon J. and Jarvis, Stephen A. (2011) WMTrace : a lightweight memory allocation tracker and analysis framework. In: UK Performance Engineering Workshop (UKPEW'11), Bradford, United Kingdom, 7-8 July 2011 (Unpublished)
Petrasova, A. (Alena), Czanner, Gabriela, Happa, Jassim, Czanner, Silvester, Wolke, Dieter and Chalmers, Alan (2010) Assessing a virtual baby feeding training system. In: 7th International Conference on Computer Graphics, Franschhoek, South Africa, 21-23 Jun 2010. Published in: AFRIGRAPH '10 Proceedings of the 7th International Conference on Computer Graphics, Virtual Reality, Visualisation and Interaction in Africa pp. 37-44. doi:10.1145/1811158.1811165 ISSN 9781450301183.
Petrasova, A. (Alena), Czanner, Silvester, Czanner, Gabriela, Farrer, J. V., Chalmers, Alan and Wolke, Dieter (2010) The influence of dynamic scene changes in geometry of virtual positioning tasks. In: 9th International Conference on Applied Mathematica - Aplimat 2010, Bratislava, Slovakia, 2-5 Feb 2010. Published in: Aplimat - Journal of Applied Mathematics, Vol.3 (No.1). pp. 259-268. ISSN 1337-6365.
Petrasova, A. (Alena), Farrer, J. V., Czanner, Silvester, Chalmers, Alan and Wolke, Dieter (2009) Building virtual environment for feeding scenario simulation. In: Central European Seminar on Computer Graphics for Students (CESCG), Budmerice, Slovakia, 20- 21 Apr 2009 (Unpublished)
Petrasova, A. (Alena), Farrer, J. V., Czanner, Silvester, Chalmers, Alan and Wolke, Dieter (2009) User interface for assisting babies with feeding disorders. In: International Conference on Cyberworlds (CW 2009), Bradford, UK, 7-11 Sep 2009. Published in: 2009 International Conference on Cyberworlds pp. 287-291. ISBN 978-1-4244-4864-7. doi:10.1109/CW.2009.16 ISSN 9780769537917.
Petrášová, Alena, Czanner, Silvester, Wolke, Dieter and Chalmers, Alan (2010) Treatment of infant feeding problems through virtual reality. In: Conference on Feeding and Eating in Infancy and Early Childhood, UCL Institute of Child Health, London, 1 Mar 2010 (Unpublished)
Player, C. and Griffiths, Nathan (2017) Using tags to bootstrap stereotypes and trust. In: 16th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2017), Sao Paulo, Brazil, 8–12 May 2017. Published in: Proceedings of 16th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2017) pp. 1691-1693.
Player, Caroline and Griffiths, Nathan (2018) Addressing concept drift in reputation assessment. In: The 17th International Conference on Autonomous Agents and Multiagent Systems (AAMAS 2018), Stockholm, Sweden, 10-15 Jul 2018. Published in: AAMAS '18 Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems pp. 2048-2050.
Player, Caroline E. and Griffiths, Nathan (2017) Bootstrapping trust and stereotypes with tags. In: 19th International Workshop on Trust in Agent Societies (Trust@AAMAS 2017), Sao Paulo, Brazil, 8-12 May 2017. Published in: Proceedings of the 19th International Workshop on Trust in Agent Societies (Trust@AAMAS 2017) (Unpublished)
Poloczek, Felix and Ciucu, Florin (2015) Service martingales : theory and applications to the delay analysis of random access protocols. In: IEEE Infocom 2015, Kowloon, 26 Apr-1 May 2015. Published in: 2015 IEEE Conference on Computer Communications (INFOCOM) pp. 945-953. doi:10.1109/INFOCOM.2015.7218466
Pope, N. W. and Beynon, Meurig (2010) Empirical modelling as an unconventional approach to software development. In: SPLASH 2010 Workshop on Flexible Modeling Tools, Reno Nevada, USA, October 18, 2010
Popham, T. J., Bhalerao, Abhir and Wilson, Roland (2010) Multi-frame scene-flow estimation using a patch model and smooth motion prior. In: British Machine Vision Conference Workshop, Aberystwyth, UK, 31 Aug - 3 Sept 2010. Published in: Proceedings of the BMVC 2010 UK postgraduate workshop 2.1-2.11.
Popham, T. J., Wilson, Roland and Bhalerao, Abhir (2010) A smooth 6DOF motion prior for efficient 3D surface tracking. In: IEEE 3DTV Conference, Tampere, Finland, 7-9 June 2010. Published in: 3DTV-Conference: The True Vision - Capture, Transmission and Display of 3D Video (3DTV-CON), 2010 pp. 1-4. ISBN 9781424463770. doi:10.1109/3DTV.2010.5506510
Poschen, Meik, Daw, Michael, Procter, Rob, Turner, M., Hanley, Terry, Slack, Roger, Hall, Andy, Jones, Michael, Le Blanc, Anja, Place, Emma, Rogers, Nikki, Rowley, Andrew, Schiebeck, Tobias, Steer, Damian, Williams, Caroline and Jones, Rebecca L. (2008) User-centered development of a Virtual Research Environment to support collaborative research events. In: 4th International Conference on e-Social Science, Manchester, UK, 18-20 Jun 2008 pp. 1-10.
Powell, A. and Mudalige, Gihan R. (2023) Predictive analysis of code optimisations on large-scale coupled CFD-combustion simulations using the CPX mini-app. In: 37th IEEE International Parallel & Distributed Processing Symposium (IPDPS 2023), St. Petersburg, Florida, USA, 15-19 May 2023. Published in: 2023 IEEE International Parallel and Distributed Processing Symposium (IPDPS) ISBN 9798350337662. doi:10.1109/IPDPS54959.2023.00064 ISSN 1530-2075.
Power, G., Davis, H. C., Cristea, Alexandra I., Stewart, C. and Ashman, H. (2005) Goal oriented personalisation with SCORM. In: 5th IEEE International Conference on Advanced Learning Technologies (ICALT 2005), Kaohsiung, Taiwan, 5-8 Jul 2005. Published in: Fifth IEEE International Conference on Advanced Learning Technologies, 2005. ICALT 2005. pp. 467-471. ISBN 0769523382. doi:10.1109/ICALT.2005.162
Prabhakar, Arun, Goddard, Christopher R., Amirantec, Dario, Reguly, Istvan Z., Gerstenberger, Axel, Suhrmann, Jan-Friedrich, Jarvis, Stephen A., Lapworth, Leigh and Mudalige, Gihan R. (2022) Virtual certification of gas turbine engines - visualizing the DLR Rig250 compressor. In: Supercomputing 2022 - Scientific Visualization and Data Analytics Showcase, Dallas, TX US, 14–17 Nov 2022
Prakash, Aditya and Thejaswini, K. S. (2023) On history-deterministic one-counter nets. In: 26th International Conference on Foundations of Software Science and Computation Structures (FoSSaCS'23), ETAPS 2023, Paris, France, 22-27 Apr 2023. Published in: Foundations of Software Science and Computation Structures. FoSSaCS 2023 (13992). ISBN 9783031308284. doi:10.1007/978-3-031-30829-1_11
Prangnell, Lee, Hernández-Cabronero, Miguel and Sanchez Silva, Victor (2017) Cross-color channel perceptually adaptive quantization for HEVC. In: IEEE Data Compression Conference, Utah, United States, 04- 07 Apr 2017. Published in: 2017 Data Compression Conference (DCC) ISBN 9781509067220. ISSN 2375-0359.
Prangnell, Lee and Sanchez Silva, Victor (2021) HVS-based perceptual color compression of image data. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP 2021), Toronto, Ontario, Canada, 6-11 Jun 2021. Published in: ICASSP 2021 - 2021 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) doi:10.1109/ICASSP39728.2021.9414773 ISSN 2379-190X.
Prangnell, Lee and Sanchez Silva, Victor (2018) JND-based perceptual video coding for 4:4:4 screen content data in HEVC. In: IEEE International Conference on Acoustics, Speech, and Signal Processing, Calgary, Alberta, Canada, 15-20 Apr 2018. Published in: 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) doi:10.1109/ICASSP.2018.8462327 ISSN 2379-190X.
Prangnell, Lee, Sanchez Silva, Victor and Vanam, Rahul (2015) Adaptive quantization by soft thresholding in HEVC. In: IEEE Picture Coding Symposium, Queensland, Australia, 31 May – 03 Jun 2015. Published in: IEEE Picture Coding Symposium (PCS) pp. 35-39. doi:10.1109/PCS.2015.7170042
Preston, J., Binner, J., Branicki, Layla, Ferrario, M., Galla, T. and Jones, Nick (2011) Game Theory and Adaptive Networks for Smart Evacuations. In: 2011 DHS Science Conference, University of East London, March 31st 2011
Procter, Rob, Poschen, Meik, Lin, Yuwei, Goble, Carole and De Roure, David C. (2009) Issues for the sharing and re-use of scientific workflows. In: 5th International Conference on e-Social Science, Köln, Germany, 24-26 Jun 2009
Qaffas, Alaa, Cristea, Alexandra I. and Shi, Lei (2013) Is adaptation of e-advertising the way forward? In: 2013 IEEE Conference on e-Learning, e-Management and e-Services, Kuching, Malaysia, 2-4 Dec 2013. Published in: Proceedings of 2013 IEEE Conference on e-Learning, e-Management and e-Services pp. 117-124. ISBN 9781479915736. doi:10.1109/IC3e.2013.6735977
Qahmash, Ayman, Joy, Mike and Boddison, Adam (2017) Investigating high-achieving students' code-writing abilities through the SOLO taxonomy. In: 28th Annual Conference of the Psychology of Programming Interest Group (PPIG 2017), Delft, Netherlands, 1-3 Jul 2017. Published in: Proceedings of 28th Annual Conference of the Psychology of Programming Interest Group (PPIG 2017) pp. 119-128.
Qahmash, Ayman, Joy, Mike and Boddison, Adam (2015) To what extent mathematics correlates with programming : statistical analysis. In: 6th Annual International Conference on Computer Science Education: Innovation and Technology (CSEIT 2015), Singapore, 5-6 Oct 2015. Published in: GSTF Digital Library pp. 17-32.
Qi, Yi, Dong, Yi, Khastgir, Siddartha, Jennings, Paul. A., Zhao, Xingyu and Huang, Xiaowei (2023) STPA for learning-enabled systems : a survey and a new practice. In: 26th IEEE International Conference on Intelligent Transportation Systems ITSC 2023, Bilbao, Bizkaia, Spain, 24-28 Sep 2023 (In Press)
Qian, Connie, Deshpande, Abhaye, Jesri, Mona, Groves, Richard, Reynolds, Neil and Kendall, Kenneth N. (2021) A comprehensive assessment of commercial process simulation software for compression moulding of sheet moulding compound. In: ESAFORM 2021 : 24th International Conference on Material Forming, Online, 14-16 Apr 2021. Published in: ESAFORM 2021 doi:10.25518/esaform21.2771
Quan, Yijun, Li, Chang-Tsun, Zhou, Yujue and Li, Li (2020) Warwick image forensics dataset for device fingerprinting in multimedia forensics. In: IEEE International conference on Multimedia and Expo, London, UK, 6-10 Jul 2020. Published in: 2020 IEEE International Conference on Multimedia and Expo (ICME) ISBN 9781728113319. doi:10.1109/ICME46284.2020.9102783
Quan, Yijun, Lin, Xufeng and Li, Chang-Tsun (2019) Provenance analysis for instagram photos. In: 16th Australian Data Mining Conference, Bathurst, Australia, 28-30 Nov 2018. Published in: Data Mining, 996 pp. 372-383. ISBN 9789811366604. doi:10.1007/978-981-13-6661-1_29 ISSN 1865-0929.
Quqandi, Ebtehal , Joy, Mike, Rushton, Melanie and Drumm, Ian (2019) Mobile augmented reality in nursing educational environments. In: 10th Computer Science and Electronic Engineering Conference (CEEC), Colchester, 19-21 Sep 2018. Published in: 2018 10th Computer Science and Electronic Engineering (CEEC) pp. 266-269. ISBN 9781538672761. doi:10.1109/CEEC.2018.8674182
Qureshi, Hammad A. and Rajpoot, Nasir M. (Nasir Mahmood) (2010) Comparative analysis of spatial and transform domain methods for meningioma subtype classification. In: Medical Image Understanding and Analysis (MIUA 2010), Coventry, UK, 6-7 July 2010. Published in: Proceedings of Medical Image Understanding and Analysis 2010 pp. 209-213. ISBN 9780956615008.
Qureshi, Hammad A., Rajpoot, Nasir M. (Nasir Mahmood), Nattkemper, Tim W. and Hans, Volkmar (2009) A robust adaptive wavelet-based method for classification of meningioma histology images. In: Workshop on Optical Tissue Image Analysis in Microscopy, Histology, and Endoscopy (OPTIMHisE), London, UK, 20-24 Sept 2009 pp. 31-42. (Unpublished)
Raecke, Harald (2008) Optimal hierarchical decompositions for congestion minimization in networks. In: 40th Annual ACM International Symposium on Theory of Computing, Victoria, Canada, May 17-20, 2008. Published in: Annual Proceedings of the 40th ACM Symposium on the Theory of Computing pp. 255-263. ISBN 978-1-60558-047-0. ISSN 0737-8017.
Raj, A. N. Joseph and Staunton, Richard C. (2007) Estimation of image magnification using phase correlation. In: 7th International Conference on Computational Intelligence and Multimedia Applications, Sivakasi, India, 13-15 Dec 2007. Published in: International Conference on Computational Intelligence and Multimedia Applications, 2007, Volume:3 pp. 490-494.
Rajpoot, Kashif, Noble, Alison, Grau, Vicente and Rajpoot, Nasir M. (Nasir Mahmood) (2008) Feature detection from echocardiography images using local phase information. In: 12th Medical Image Understanding and Analysis (MIUA 2008), Dundee, Scotland, 2-3 Jul 2008 (Unpublished)
Rajpoot, Kashif and Rajpoot, Nasir M. (Nasir Mahmood) (2003) Wavelet based segmentation of hyperspectral colon tissue imagery. In: 7th IEEE International Multi Topic Conference (INMIC 2003), Islamabad, Pakistan, 9 Dec 2003. Published in: 7th International Multi Topic Conference, 2003. INMIC 2003. pp. 38-43. ISBN 0780381831. doi:10.1109/INMIC.2003.1416612
Rajpoot, Kashif and Rajpoot, Nasir M. (Nasir Mahmood) (2004) Wavelets and support vector machines for texture classification. In: 8th IEEE International Multitopic Conference (INMIC 2004), Lahore, Pakistan, 24-26 Dec 2004. Published in: Proceedings of INMIC 2004. 8th International Multitopic Conference, 2004. pp. 328-333. ISBN 0780386809. doi:10.1109/INMIC.2004.1492898
Rajpoot, Nasir M., Meyer, F. G., Wilson, Roland and Coifman, R. R. (1999) On zerotree quantization for embedded wavelet packet image coding. In: IEEE International Conference on Image Processing (ICIP 1999), Kobe, Japan, 24-28 Oct 1999. Published in: 1999 International Conference on Image Processing, 1999. ICIP 99. Proceedings., Volume 2 pp. 283-287. ISBN 0780354672.
Rajpoot, Nasir M., Wilson, Roland, Meyer, François G. and Coifman, Ronald R. (2001) A new basis selection paradigm for wavelet packet image coding. In: International Conference on Image Processing (ICIP 2001), Thessaloniki, Greece , 07-10 Oct 2001. Published in: Proceedings. 2001 International Conference on Image Processing, 2001. , Volume 3 pp. 816-819. ISBN 0780367251.
Rajpoot, Nasir M., Wilson, Roland and Yao, Zhen (2004) Planelets : a new analysis tool for planar feature extraction. In: International Workshop on Image Analysis for Multimedia Interactive Services (5th), Lisboa, Portugal, 21-23 Apr 2004 (Unpublished)
Rajpoot, Nasir M. (Nasir Mahmood) (2004) Model based optimal bit allocation. In: Data Compression Conference (DCC 2004), Snowbird, UT, 23-25 Mar 2004. Published in: Data Compression Conference, 2004. Proceedings. DCC 2004 p. 558. ISBN 0769520820. ISSN 1068-0314.
Rajpoot, Nasir M. (Nasir Mahmood) (2002) Simulation of the rate-distortion behaviour of a memoryless Laplacian source. In: 4th Middle Eastern Symposium on Simulation and Modelling (MESM 2002), Sharjah, UAE, 28-30 Oct 2002
Rajpoot, Nasir M. (Nasir Mahmood) (2002) Texture classification using discriminant wavelet packet subbands. In: 45th Midwest Symposium on Circuits and Systems (MWSCAS 2002), Tulsa, OK, 04-07 Aug 2002. Published in: The 2002 45th Midwest Symposium on Circuits and Systems : conference proceedings : August 4-7, 2002, Tulsa, Oklahoma., Volume 3 pp. 300-303. ISBN 0780375238.
Rajpoot, Nasir M. (Nasir Mahmood) and Masood, K. (2005) Human gait recognition with 3-D wavelets and kernel based subspace projections. In: International Workshop on Human Activity Recognition and Modelling (HAREM 2005), Oxford, UK, 9 Sep 2005
Ramanujan, M. S., Sahu, Abhishek, Saurabh, Saket and Verma, Shaily (2022) An exact algorithm for knot-free vertex deletion. In: 47th International Symposium on Mathematical Foundations of Computer Science (MFCS 2022), Vienna, Austria, 22—26 Aug 2022, 241 78:1-78:15. ISBN 9783959772563. doi:10.4230/LIPIcs.MFCS.2022.78 ISSN 1868-8969.
Rangi, Anshuka, Tran-Thanh, Long, Xu, Haifeng and Franceschetti, Massimo (2022) Saving stochastic bandits from poisoning attacks via limited data verification. In: Thirty-Sixth AAAI Conference on Artificial Intelligence (AAAI-22), Virtual conference, 22 Feb- 01 Mar 2022. Published in: Proceedings of the AAAI Conference on Artificial Intelligence, 36 (7). pp. 8054-8061. doi:10.1609/aaai.v36i7.20777 ISSN 2374-3468.
Rao, Arun Chakrapani, Dhadyalla, Gunwant, Jones, P. (Peter), Dr., McMurran, Ross and White, David (2006) Systems modelling of a driver information system - Automotive industry case study. In: IEEE/SMC International Conference on System of Systems Engineering, Los Angeles, CA, APR 24-26, 2006. Published in: Proceedings of the 2006 IEEE/SMC International Conference on System of Systems Engineering pp. 240-245. ISBN 978-1-4244-0187-1.
Rasmequan, S. and Russ, Steve (2002) Knowledge modelling. In: IASTED Conference on Applied Modelling and Simulation, Massachusetts, USA, 4–6 Nov 2002. Published in: Applied Modelling and Simulation (AMS 2002)
Rasmequan, Suwanna, Roe, Chris P. and Russ, Steve (2000) Strategic decision support systems : an experience-based approach. In: 18th IASTED Conference on Applied Informatics, Innsbruck, Austria, 14-17 Feb 2000
Rasmequan, Suwanna and Russ, Steve (2000) Cognitive artefacts for decision support. In: IEEE International Conference on Systems, Man, and Cybernetics (SMC 2000), Tennessee, USA, 8-11 Oct 2000. Published in: 2000 IEEE International Conference on Systems, Man, and Cybernetics , Volume 1 pp. 651-656. ISBN 0780365836. ISSN 1062-922X.
Reguly, Istvan Z., Moore, Branden, Schmielau, Tim, du Toit, Jacques and Mudalige, Gihan R. (2019) Batch solution of small PDEs with the OPS DSL. In: International Conference on High Performance Computing, Frankfurt, Germany, 16-20 Jun 2019. Published in: High Performance Computing, 11887 pp. 124-141. ISBN 9783030343552. doi:10.1007/978-3-030-34356-9_12 ISSN 0302-9743.
Reguly, Istvan Z., Mudalige, Gihan R. and Giles, Michael B. (2017) Beyond 16GB : out-of-core stencil computations. In: Workshop on Memory Centric Programming for HPC (MCHPC'17), Denver, CO, USA, 12-17 Nov 2017. Published in: Proceedings of the Workshop on Memory Centric Programming for HPC (MCHPC'17) pp. 20-29. ISBN 9781450351317.
Reguly, Istvan Z., Owenson, A. M. B, Powell, Archie, Jarvis, Stephen A. and Mudalige, Gihan R. (2021) Under the hood of SYCL - an initial performance analysis with an unstructured-mesh CFD application. In: 36th International Conference, ISC High Performance 2021, Virtual conference, 24 Jun - 2 Jul 2021. Published in: High Performance Computing. ISC High Performance 2021, 12728 pp. 391-410. ISBN 9783030787127. doi:10.1007/978-3-030-78713-4_21 ISSN 0302-9743.
Ren, Xuebin, Shi, Liang, Yu, Weiren, Yang, Shusen, Zhao, Cong and Xu, Zongben (2022) LDP-IDS : local differential privacy for infinite data streams. In: ACM SIGMOD PODS International Conference on Management of Data, Philadelphia, PA, USA, 12-17 Jun 2022. Published in: SIGMOD '22: Proceedings of the 2022 International Conference on Management of Data pp. 1064-1077. ISBN 9781450392495. doi:10.1145/3514221.3526190
Reynolds, David (2014) Money from nothing, and the clicks are free : an investigation into the commodification of non-pecuniary consideration in the Digital Economy. In: WMG Doctoral Research and Innovation Conference 2014, WMG, Univeristy of Warwick, 10-11 Jul 2014
Robinson, Alistair, Brown, Frederick, Hall, Nathan, Jackson, Alexander, Kemp, Graham and Leeke, Matthew (2021) CASTLEGUARD : anonymised data streams with guaranteed differential privacy. In: 18th IEEE International Conference on Dependable, Autonomic and Secure Computing (DASC'20), Calgary, Canada, 17-22 Aug 2020. Published in: 2020 IEEE Intl Conf on Dependable, Autonomic and Secure Computing, Intl Conf on Pervasive Intelligence and Computing, Intl Conf on Cloud and Big Data Computing, Intl Conf on Cyber Science and Technology Congress (DASC/PiCom/CBDCom/CyberSciTech) ISBN 9781728166100. doi:10.1109/DASC-PICom-CBDCom-CyberSciTech49142.2020.00102
Robinson, Stewart (2006) Conceptual modeling for simulation: Issues and research requirements. In: 2006 Winter Simulation Conference, Monterey, CA, DEC 03-06, 2006. Published in: Proceedings of the 2006 Winter Simulation Conference, Vols 1-5 pp. 792-800. ISBN 978-1-4244-0500-8. doi:10.1109/WSC.2006.323160
Robinson, Stewart, Lee, Ernie and Edwards, John S. (2006) Improving the use of visual interactive simulation as a knowledge elicitation tool. In: 4th International Industrial Simulation Conference, Univ Palermo, Palermo, ITALY, JUN 05-07, 2006. Published in: 4th International Industrial Simulation Conference 2006 pp. 479-485. ISBN 978-90-77381-26-7.
Rodrigues, M., McGordon, Andrew, Gest, Graham and Marco, James (2017) Developing and testing of control software framework for autonomous ground vehicle. In: IEEE International Conference on Autonomous Robot Systems and Competitions (ICARSC), Coimbra, Portugal, 26-28 May 2017. Published in: 2017 IEEE International Conference on Autonomous Robot Systems and Competitions (ICARSC) ISBN 9781509062355. doi:10.1109/ICARSC.2017.7964044
Roe, Chris P. and Beynon, Meurig (2004) Computer support for constructionism in context. In: IEEE International Conference on Advanced Learning Technologies (ICALT 2004), Joensuu, Finland, 30 August - 1 September, 2004. Published in: IEEE International Conference on Advanced Learning Technologies, 2004. Proceedings. pp. 216-220. ISBN 0769521819. doi:10.1109/ICALT.2004.1357406
Roe, Chris P. and Beynon, Meurig (2007) Dependency by definition in imagine-d logo : applications and implications. In: 11th European Logo Conference, Bratislava, Slovakia, 19-24 Aug 2007. Published in: Proceedings of the 11th European Logo Conference ISBN 9788089186204.
Roe, Chris P. and Beynon, Meurig (2002) Empirical modelling principles to support learning in a cultural context. In: 1st International Conference on Educational Technology in Cultural Context, Joensuu, Finland, 2-3 Sep 2002 pp. 151-172.
Roe, Chris P., Beynon, Meurig and Fischer, Carlos N. (2001) Empirical modelling for the conceptual design and use of products. In: International Conference on Simulation and Multimedia in Engineering Education, Phoenix, Arizona, 7-11 Jan 2001 pp. 27-32.
Roman, Charlotte and Turrini, Paolo (2019) Multi-population congestion games with incomplete information. In: International Joint Conference on Artificial Intelligence (IJCAI 2019), Macao, China, 10-16 Aug 2019. Published in: Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence pp. 565-571. doi:10.24963/ijcai.2019/80 ISSN 1045-0823.
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Initiation interval aware resource sharing for FPGA DSP blocks. In: IEEE International Symposium on Field-Programmable Custom Computing Machines, Washington, DC, 1–3 May 2016. Published in: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), p. 135.
Ronak, Bajaj and Fahmy, Suhaib A. (2015) Minimising DSP block usage through multi-pumping. In: International Conference on Field Programmable Technology (FPT), Queenstown, New Zealand, 7-9 Dec 2015
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Minimizing DSP block usage through multi-pumping. In: International Conference on Field-Programmable Logic and Applications, Lausanne, Switzerland, 29 Aug-2 Sep 2016. Published in: 2015 International Conference on Field Programmable Technology (FPT) ISBN 9781467390903. doi:10.1109/FPT.2015.7393146
Rossello, M. R., Griffiths, Nathan, Kalvala, Sara, Wellington, E. M. H. and Gaze, William H. (2009) Networking strategies in streptomyces coelicolor. In: 2nd International Conference on Bioinformatics and Systems Biology (BSB 2009), Leipzig, Germany, 23-25 March 2009 (Unpublished)
Roy, Debaleena, Guha, Tanaya and Sanchez Silva, Victor (2022) Graph-based transform based on 3D convolutional neural network for intra-prediction of imaging data. In: 2022 Data Compression Conference (DCC), Snowbird, Utah, 22-25 Mar 2022. Published in: 2022 Data Compression Conference (DCC) doi:10.1109/DCC52660.2022.00029 ISSN 2375-0359.
Roy, Debaleena, Guha, Tanaya and Sanchez Silva, Victor (2021) Graph-based transform based on neural networks for intra-prediction of imaging data. In: IEEE International Workshop on Machine Learning for Signal Processing (MLSP 2021), Gold Coast, Queensland, Australia, 25-28 Oct 2021. Published in: IEEE Xplore doi:10.1109/MLSP52302.2021.9596317
Roy, Debaleena and Sanchez Silva, Victor (2017) Graph-based transforms based on prediction inaccuracy modeling for pathology image coding. In: 2018 Data Compression Conference, Utah, USA, 27-30 Mar 2018. Published in: 2018 Data Compression Conference pp. 157-166. doi:10.1109/DCC.2018.00024 ISSN 2375-0359.
Räcke, Harald (2009) Survey on oblivious routing strategies. In: 5th Conference on Computability in Europe (CiE 2009), Heidelberg, Germany, July 19-24, 2009. Published in: Lecture Notes in Computer Science, Vol.5635 pp. 419-429. ISBN 978-3-642-03072-7. doi:10.1007/978-3-642-03073-4 ISSN 0302-9743.
Räcke, Harald and Rosen, Adi (2009) Approximation algorithms for time-constrained scheduling on line networks. In: 21st ACM Symposium on Parallelism in Algorithms and Architectures, Calgary, Canada, August 11-13, 2009. Published in: SPAA '09: Proceedings of the Twenty-First Annual Symposium on Parallelism in Algorithms and Architecture pp. 337-346. ISBN 978-1-60558-606-9. doi:10.1145/1583991.1584071
Sajjacholapunt, Petch and Joy, Mike (2015) Analysing features of lecture slides and past exam paper materials towards automatic associating E-materials for self-revision. In: CSEDU 2015, 7th International Conference on Computer Supported Education , Lisbon, Portugal, 23-25 May 2015. Published in: Proceedings of 7th International Conference on Computer Supported Education (CSEDU 2015)
Sajjacholapunt, Petch and Joy, Mike (2016) SRECMATs - an intelligent tutoring system to deliver online materials for student revision. In: CSEDU 2016, 8th International Conference on Computer Supported Education , Rome, Italy, 21-23 Apr 2016 pp. 67-74. ISBN 9789897581793.
Saksena, M. and Cristea, Alexandra I. (2006) Towards more efficient generic semantic authoring for adaptive hypermedia. In: International Workshop on Adaptivity, Personalization and the Semantic Web, Odense, Denmark, 23-25 Aug 2006. Published in: APS '06 Proceedings of the joint international workshop on Adaptivity, personalization & the semantic web pp. 11-20. ISBN 1595934537. doi:10.1145/1149933.1149936
Samadi, Amir, Shirian, Amir, Koufos, Konstantinos, Debattista, Kurt and Dianati, Mehrdad (2023) SAFE: Saliency-Aware Counterfactual Explanations for DNN-based automated driving systems. In: 26th IEEE International Conference on Intelligent Transportation Systems (ITSC 2023), Bilbao, Bizkaia, Spain, 24-28 Sep 2023 (In Press)
Samanta, Atanu and Guha, Tanaya (2017) On the role of head motion in affective expression. In: 2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), New Orleans, LA, USA, 5-9 March 2017 pp. 2886-2890. ISBN 9781509041176. doi:10.1109/ICASSP.2017.7952684 ISSN 2379-190X.
Sample, Char, Hutchinson, Steve, Cowley, Jennifer, Watson, Tim, Hallaq, Bilal and Maple, Carsten (2017) Data fidelity : security’s soft underbelly. In: IEEE 11th International conference on Recent Challenges in Information Systems, Brighton, UK, 10-12 May 2017. Published in: 2017 11th International Conference on Research Challenges in Information Science (RCIS) ISBN 9781509054763. doi:10.1109/RCIS.2017.7956552 ISSN 2151-1357.
Sanassy, Daven, Fellermann, Harold, Krasnogor, Natalio, Konur, Savas, Mierla, Laurentiu M., Gheorghe, Marian, Ladroue, Christophe and Kalvala, Sara (2014) Modelling and stochastic simulation of synthetic biological Boolean gates. In: 2014 IEEE International Conference on High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS), Paris, 20-22 Aug 2014. Published in: High Performance Computing and Communications, 2014 IEEE 6th Intl Symp on Cyberspace Safety and Security, 2014 IEEE 11th Intl Conf on Embedded Software and Syst (HPCC,CSS,ICESS) pp. 404-408. ISBN 9781479961221. doi:10.1109/HPCC.2014.68
Sanchez Silva, Victor (2020) Model-independent rate control for intra-coding based on piecewise linear approximations. In: 2020 Data Compression Conference, Salt Lake City, Utah, U.S., 24-27 Mar 2020. Published in: 2020 Data Compression Conference (DCC) ISBN 9781728164588. doi:10.1109/DCC47342.2020.00081
Sanchez Silva, Victor (2018) Rate control for HEVC intra-coding based on piecewise linear approximations. In: IEEE International Conference on Acoustics, Speech, and Signal Processing, Calgary, Alberta, Canada, 15-20 Apr 2018. Published in: IEEE International Conference on Acoustics, Speech, and Signal Processing doi:10.1109/ICASSP.2018.8461970 ISSN 2379-190X.
Sanyal, Amartya, Kusner, Matt, Gascon, Adria and Kanade, Varun (2018) TAPAS : tricks to accelerate (encrypted) prediction as a service. In: 35th International Conference on Machine Learning, ICML 2018, Stockholm, Sweden, 10-15 Jul 2018. Published in: Proceedings of the 35th International Conference on Machine Learning, 80 pp. 4490-4499. ISSN 2640-3498.
Savva, Fotis, Anagnostopoulos, Christos and Triantafillou, Peter (2020) Aggregate query prediction under dynamic workloads. In: 2019 IEEE International Conference on Big Data (Big Data), Los Angeles, CA, USA, 9-12 Dec 2019 pp. 671-676. ISBN 9781728108582. doi:10.1109/BigData47090.2019.9006267
Savva, Fotis, Anagnostopoulos, Christos and Triantafillou, Peter (2018) Explaining aggregates for exploratory analytics. In: 2018 IEEE International Conference on Big Data (Big Data), Seattle, USA, 10-14 Dec 2018. Published in: 2018 IEEE International Conference on Big Data (Big Data)
Schlenker, Aaron, Thakoor, Omkar, Xu, Haifeng, Fang, Fei, Tambe, Milind, Tran-Thanh, Long, Vayanos, Phebe and Vorobeychik, Yevgeniy (2018) Deceiving cyber adversaries : a game theoretic approach. In: AAMAS '18: 17th International Conference on Autonomous Agents and MultiAgent Systems, Stockholm, Sweden, 10-15 Jul 2018. Published in: AAMAS '18: Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems pp. 892-900. ISBN 9781450356497. doi:10.5555/3237383.3237833 ISSN 2523-5699.
Schneider, Lennart, Pfisterer, Florian, Kent, Paul, Branke, Juergen, Bischl, Bernd and Thomas, Janek (2022) Tackling neural architecture search with quality diversity optimization. In: AutoML-Conf 2022 : 1st International Conference on Automated Machine Learning, Baltimore, US, 25-27 Jul 2022
Scott, Mary, Cormode, Graham and Maple, Carsten (2022) Applying the shuffle model of differential privacy to vector aggregation. In: BICOD21 : British International Conference on Databases, London, UK, 28 Mar 2022. Published in: CEUR Workshop Proceedings pp. 1-10. ISSN 1613-0073.
Scotton, Joshua D. and Cristea, Alexandra I. (2010) Reusing adaptation strategies in adaptive educational hypermedia systems. In: 10th IEEE International Conference on Advanced Learning Technologies (ICALT 2010), Sousse, Tunisia, 5-7 July 2010. Published in: ICALT 2010 : 10th International Conference on Advanced Learning Technologies pp. 528-532. ISBN 9781424471447. doi:10.1109/ICALT.2010.151
Shaikhina, Torgyn, Khovanova, N. A. and Mallick, Kajal (2014) Artificial neural networks in hard tissue engineering : another look at age-dependence of trabecular bone properties in osteoarthritis. In: International Conference on Biomedical and Health Informatics, Valencia, Spain, 1-4 Jun 2014. Published in: International Conference on Biomedical and Health Informatics (BHI), 2014 IEEE-EMBS pp. 622-625. doi:10.1109/BHI.2014.6864441
Shao, Shuai, Guan, Yu, Zhai, Bing, Missier, Paolo and Ploetz, Thomas (2023) ConvBoost : boosting ConvNets for sensor-based activity recognition. In: UNSPECIFIED. Published in: Proceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies, 7 (2). doi:10.1145/3596234 (In Press)
Shao, Shuai and Sanchez, Victor (2023) A study on diffusion modelling for sensor-based human activity recognition. In: 11th International Workshop on Biometrics and Forensics (IWBF2023), Barcelona, Spain, 19-20 Apr 2023. Published in: 2023 11th International Workshop on Biometrics and Forensics (IWBF) ISBN 9798350336078. doi:10.1109/IWBF57495.2023.10157482
Shao, Zhiyuan, Wang, Qiang, Xie, Xuejiao, Jin, Hai and He, Ligang (2011) Analyzing and improving MPI communication performance in overcommitted virtualized systems. In: 19th Annual Meeting of the IEEE Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS'11), Singapore, 25-27 July 2011. Published in: Proceedings of the nineteenth IEEE International Symposium on Modeling, Analysis & Simulation of Computer and Telecommunication Systems (MASCOTS) pp. 381-389. ISBN 9781457704680. doi:10.1109/MASCOTS.2011.27 ISSN 1526-7539.
Sharma, Rahul and Guha, Tanaya (2016) A trajectory clustering approach to crowd flow segmentation in videos. In: 2016 IEEE International Conference on Image Processing (ICIP), Phoenix, AZ, USA, 25-28 Sep 2016 pp. 1200-1204. ISBN 9781467399616. doi:10.1109/ICIP.2016.7532548 ISSN 2381-8549.
Sharma, Rahul, Guha, Tanaya and Sharma, Gaurav (2018) Multichannel attention network for analyzing visual behavior in public speaking. In: IEEE Winter Conference on Applications of Computer Vision (WACV), Lake Tahoe, NV, USA, 12-15 Mar 2018 pp. 476-484. ISBN 9781538648865. doi:10.1109/WACV.2018.00058
Sheik, Al Tariq, Maple, Carsten, Watson, Tim, Alhagagi, Hussam A., Sohrabi Safa, Nader and Lee, Sang Woo (2017) A threat based approach to computational offloading for collaborative cruise control. In: The Second International Conference on Internet of Things, Data and Cloud Computing (ICC 2017), University of Cambridge, United Kingdom, 22-23 March 2017. Published in: ICC '17 Proceedings of the Second International Conference on Internet of things and Cloud Computing ISBN 9781450347747. doi:10.1145/3018896.3056792
Shekelyan, Michael, Cormode, Graham, Ma, Qingzhi, Shanghooshabad, A. M. and Triantafillou, Peter (2023) Streaming weighted sampling over join queries. In: 26th International Conference on Extending Database Technology (EDBT) 2023, Ioannina, Greece, 28-31 Mar 2023. Published in: Proceedings of the 26th International Conference on Extending Database Technology (EDBT) 2023, March 2023. ISBN 9783893180936. doi:10.48786/edbt.2023.24 ISSN 2367-2005. (In Press)
Shen, Guodong, Ouyang, Yuqi and Sanchez Silva, Victor (2022) Video anomaly detection via prediction network with enhanced spatio-temporal memory exchange. In: ICASSP 2022 - 2022 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Singapore, Singapore, 23-27 May 2022. Published in: ICASSP 2022 - 2022 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) pp. 3728-3732. ISBN 9781665405416. doi:10.1109/ICASSP43922.2022.9747376
Shen, Yuan and Thönnes, Elke (2006) Efficient proposals in reversible jump MCMC for disk detection. In: International Multiconference of Engineers and Computer Scientists, Kowloon, PEOPLES R CHINA, JUN 20-22, 2006. Published in: IMECS 2006: International Multiconference of Engineers and Computer Scientists pp. 552-557. ISBN 988-98671-3-3.
Shi, Lei, Al Qudah, Dana and Cristea, Alexandra I. (2012) Apply the We! Design methodology in E-learning 2.0 system design : a pilot study. In: ICCSW’12, London, 27-28 Sep 2012. Published in: 2012 Imperial College Computing Student Workshop, Volume 28 pp. 123-128. ISBN 9783939897484. doi:10.4230/OASIcs.ICCSW.2012.123
Shi, Lei, Al Qudah, Dana and Cristea, Alexandra I. (2013) Designing social personalized adaptive e-learning. In: ITiCSE 2013, the 18th Annual Conference on Innovation and Technology in Computer Science Education, Canterbury, 1-3 Jul 2013 doi:10.1145/2462476.2466520
Shi, Lei, Al Qudah, Dana and Cristea, Alexandra I. (2012) Exploring participatory design for SNS-based AEH systems. In: IADIS International Conference on WWW/internet 2012, Madrid, Spain, 18-21 Oct 2012 pp. 242-249.
Shi, Lei, Al Qudah, Dana and Cristea, Alexandra I. (2013) Social e-learning in topolor : a case study. In: IADIS International Conference , Prague, Czech Republic, 23-26 Jul 2013
Shi, Lei, Al Qudah, Dana, Qaffas, Alaa and Cristea, Alexandra I. (2013) To build light gamification upon social interactions : requirement analysis for the next version of Topolor. In: Sixth York Doctoral Symposium on Computer Science and Electronics (YDS2013), York, UK, 29 Oct 2013. Published in: Proceedings of the Sixth York Doctoral Symposium on Computer Science and Electronics (YDS2013) pp. 1-5.
Shi, Lei, Al Qudah, Dana, Qaffas, Alaa and Cristea, Alexandra I. (2013) Topolor : a social personalized adaptive e-learning system. In: UMAP 2013 The 21st conference on user modeling, adaptation and personalization, Rome, Italy, 10-14 Jun 2013 doi:10.1007/978-3-642-38844-6_32 (Submitted)
Shi, Lei, Awan, M. S. K. (Malik Shahzad K.) and Cristea, Alexandra I. (2013) Evaluation of social personalized adaptive E-Learning environments : end-user point of view. In: 3th Imperial College Computing Student Workshop, London, UK, 26-27 Sep 2013 doi:10.4230/OASIcs.ICCSW.2013.103
Shi, Lei and Cristea, Alexandra I. (2013) Investigating the impact of social interactions in adaptive E-Learning by learning behaviour analysis. In: Sixth York Doctoral Symposium on Computer Science and Electronics (YDS2013), York, UK, 29 Oct 2013. Published in: Proceedings of Sixth York Doctoral Symposium on Computer Science and Electronics (YDS2013) p. 1.
Shi, Lei, Cristea, Alexandra I., Awan, M. S. K. (Malik Shahzad K.), Hendrix, Maurice and Stewart, Craig (2013) Towards understanding learning behavior patterns in social adaptive personalized e-learning systems. In: 19th Americas Conference on Information Systems, Chicago, Illinois, USA, 15-17 Aug 2013. Published in: Proceedings of the 19th Americas Conference on Information Systems (AMCIS 2013) ISBN 9780615559070.
Shi, Lei, Gkotsis, George, Stepanyan, Karen, Al Qudah, Dana and Cristea, Alexandra I. (2013) Social personalized adaptive e-learning environment : Topolor - implementation and evaluation. In: The 16th International Conference on Artificial Intelligence in Education (AIED 2013), Memphis, USA, 9-13 Jul 2013 doi:10.1007/978-3-642-39112-5-94 (Submitted)
Shi, Lei, MacKrill, James B., Dimitrokali, Elisavet, Dawson, Carolyn and Cain, Rebecca (2015) Digital co-design applied to healthcare environments : a comparative study. In: The 15th IFIP TC.13 International Conference on Human-Computer Interaction - INTERACT 2015, Bamberg, Germany, 14-18 Sep 2015. Published in: Human-Computer Interaction – INTERACT 2015 : 15th IFIP TC 13 International Conference, Bamberg, Germany, September 14-18, 2015, Proceedings, Part IV, 9299 pp. 518-522. ISBN 9783319227221. doi:10.1007/978-3-319-22723-8_52
Shi, Lei, Stepanyan, Karen, Al Qudah, Dana and Cristea, Alexandra I. (2013) Evaluation of social interaction features in topolor - a social personalized adaptive e-learning system. In: 13th IEEE International Conference on Advanced Learning Technologies (ICALT 2013), Beijing, China, 15-18 Jul 2013. Published in: Proceedings of 13th IEEE International Conference on Advanced Learning Technologies (ICALT 2013) pp. 294-295. doi:10.1109/ICALT.2013.92
Shi, Lei (2014) Defining and evaluating learner experience for social adaptive e-learning. In: The 4th Imperial College Computing Student Workshop (ICCSW 2014), London, 25-26 Sep 2014. Published in: 2014 Imperial College Computing Student Workshop, 43 pp. 74-82. ISBN 9783939897767. doi:10.4230/OASIcs.ICCSW.2014.74 ISSN 2190-6807.
Shi, Lei and Cristea, Alexandra I. (2014) Making it game-like : Topolor 2 and gamified social e-learning. In: 22nd Conference on User Modeling, Adaptation and Personalization (UMAP 2014), Aalborg, Denmark, 7-11 Jul 2014. Published in: Proceedings of UMAP 2014 posters, demonstrations and late-breaking results, 1181 pp. 61-64. ISSN 1613-0073.
Shi, Lei , Cristea, Alexandra I. and Hadzidedic, Suncica (2014) The critical role of profiles in social E-learning design. In: 15th Annual Conference on Information Technology Education (SIGITE 2014), Atlanta, Georgia, 15-18 Oct 2014. Published in: Proceedings of the 15th Annual Conference on Information Technology Education (SIGITE 2014) 71-76 . ISBN 9781450326865. doi:10.1145/2512276.2512295
Shi, Lei , Dawson, Carolyn, MacKrill, James B., Dimitrokali, Elisavet and Cain, Rebecca (2015) Digital co-design : a future method? In: The British Human Computer Interaction Conference, Lincoln, 13-17 Jul 2015. Published in: British HCI '15 : Proceedings of the 2015 British HCI Conference pp. 295-296. ISBN 9781450336437. doi:10.1145/2783446.2783618
Shi, Lei , MacKrill, James B., Dawson, Carolyn, Dimitrokali, Elisavet and Cain, Rebecca (2015) Digital crowdsourcing in healthcare environment co-design. In: 24th International Conference on Information Systems Development, Harbin, China, 25-27 Aug 2015
Shin, Dongjoe and Tjahjadi, Tardi (2008) Similarity invariant Delaunay graph matching. In: Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition, University of Central Florida, Orlando, FL, U.S.A., Dec 04-16, 2008. Published in: Lecture Notes in Computer Science, Vol.5342/2008 pp. 25-34. ISBN 978-3-540-89688-3. doi:10.1007/978-3-540-89689-0_7 ISSN 0302-9743.
Shin, Dongjoe and Tjahjadi, Tardi (2006) Triangular mesh generation of octrees of non-convex 3D objects. In: 18th International Conference on Pattern Recognition (ICPR 2006), Hong Kong, PEOPLES R CHINA, AUG 20-24, 2006. Published in: 18th International Conference on Pattern Recognition, Vol 3, Proceedings pp. 950-953. ISBN 0-7695-2521-0. doi:10.1109/ICPR.2006.1137 ISSN 1051-4651.
Shirian, Amir and Guha, Tanaya (2021) Compact graph architecture for speech emotion recognition. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), Toronto, Ontario, Canada, 6-11 Jun 2021. Published in: ICASSP 2021 - 2021 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) doi:10.1109/ICASSP39728.2021.9413876 ISSN 2379-190X.
Shonola, Shaibu A. and Joy, Mike (2014) Mobile learning security issues from lecturers' perspectives (Nigerian Universities case study). In: International Conference on Education and New Learning Technologies (EDULEARN14), Barcelona, Spain, 7-9 Jul 2014. Published in: EDULEARN14 Proceedings pp. 7081-7088. ISBN 9788461705573. ISSN 2340-1117.
Shreejith, Shanker, Anshuman, Bezborah and Fahmy, Suhaib A. (2016) Accelerated artificial neural networks on FPGA for fault detection in automotive systems. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 14–18 Mar 2016. Published in: 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) pp. 37-42. ISBN 9783981537062. ISSN 1558-1101.
Shreejith, Shanker, Cooke, Ryan A. and Fahmy, Suhaib A. (2018) A smart network interface approach for distributed applications on Xilinx Zynq SoCs. In: International Conference on Field-Programmable Logic and Applications, Dublin, Ireland, 27–31 Aug 2018. Published in: 2018 28th International Conference on Field Programmable Logic and Applications (FPL) doi:10.1109/FPL.2018.00038 ISSN 1946-1488.
Shrestha, Sambid, Leeke, Matthew and Jhumka, Arshad (2010) On the tradeoff between privacy and energy in wireless sensor networks. In: UK Performance Engineering Workshop (UKPEW'10), Coventry, UK, 9-10 July 2010. Published in: Proceedings of the 26th UK Performance Engineering Workshop (UKPEW 2010) pp. 103-110.
Sinclair, Jane and Kalvala, Sara (2015) Exploring societal factors affecting the experience and engagement of first year female computer science undergraduates. In: Koli Calling : International Conference on Computing Education Research, Koli, Finland, 19-22 Nov 2015. Published in: Koli Calling '15 Proceedings of the 15th Koli Calling Conference on Computing Education Research pp. 107-116. ISBN 9781450340205 . doi:10.1145/2828959.2828979
Singh, Hemant Kumar and Branke, Juergen (2022) Identifying stochastically non-dominated solutions using evolutionary computation. In: 17th International Conference, PPSN 2022, Dortmund, Germany, 10-14 Sep 2022. Published in: Parallel Problem Solving from Nature – PPSN XVII, 13399 pp. 193-206. ISBN 9783031147203. doi:10.1007/978-3-031-14721-0_14 ISSN 0302-9743.
Sinha, Sumit, Franciosa, Pasquale and Ceglarek, Darek (2021) Object shape error response using Bayesian 3D convolutional neural networks for assembly systems with compliant parts. In: 18th IEEE International Conference on Industrial Informatics (INDIN), Coventry, 20-23 Jul 2020. Published in: 2020 IEEE 18th International Conference on Industrial Informatics (INDIN) pp. 104-109. ISBN 9781728149646. doi:10.1109/INDIN45582.2020.9442245 ISSN 2378-363X.
Sinha, Sumit, Glorieux, Emile, Franciosa, Pasquale and Ceglarek, Dariusz (2019) 3D convolutional neural networks to estimate assembly process parameters using 3D point-clouds. In: SPIE Optical Metrology, Munich, Germany, 24-27 Jun 2019. Published in: Proceedings of SPIE : Multimodal Sensing: Technologies and Applications, 11059 p. 10. doi:10.1117/12.2526062 ISSN 0277-786X.
Sitthiworachart, Jirarat and Joy, Mike (2003) Deepening computer programming skills by using web-based peer assessment. In: 4th Annual Conference of the LTSN Centre for Information and Computer Sciences, Galway, Eire, 26-28 Aug 2003. Published in: Proceedings of the 4th Annual Conference of the LTSN Centre for Information and Computer Sciences pp. 152-156.
Sitthiworachart, Jirarat and Joy, Mike (2004) Effective peer assessment for learning computer programming. In: 9th Annual Conference on the Innovation and Technology in Computer Science Education (ITiCSE 2004), Leeds, UK, 28-30 Jun 2004. Published in: Proceedings of the 9th annual SIGCSE conference on Innovation and technology in computer science education pp. 122-126. ISBN 1581138369.
Sitthiworachart, Jirarat and Joy, Mike (2008) Is mobile learning a substitute for electronic learning? In: IADIS International Conference on e-Learning 2008, Amsterdam, The Netherlands, 22-25 Jul 2008 pp. 451-458.
Sitthiworachart, Jirarat and Joy, Mike (2004) Using web-based peer assessment in fostering deep learning in computer programming. In: International Conference on Education and Information Systems - Technologies and Application, Orlando, FL, 21-25 Jul 2004. Published in: EISTA '04: International Conference on Education and Information Systems: Technologies and Applications, Proceedings, Volume 3 pp. 231-236. ISBN 9789806560116.
Sitthiworachart, Jirarat and Joy, Mike (2003) Web-based peer assessment in learning computer programming. In: 3rd IEEE International Conference on Advanced Learning Technologies, Athens, Greece, 09-11 Jul 2003. Published in: The 3rd IEEE International Conference on Advanced Learning Technologies, 2003. Proceedings. pp. 180-184. ISBN 0769519679. doi:10.1109/ICALT.2003.1215052
Sitthiworachart, Jirarat and Joy, Mike (2004) Web-based peer assessment system with an anonymous communication tool. In: 4th IEEE International Conference on Advanced Learning Technologies, Joensuu, Finland, 30 Aug - 01 Sep 2004. Published in: IEEE International Conference on Advanced Learning Technologies, 2004. Proceedings. pp. 918-919. ISBN 07695-21819. doi:10.1109/ICALT.2004.1357720
Sitthiworachart, Jirarat and Joy, Mike (2004) The evaluation of students’ marking in web-based peer assessment of learning computer programming. In: International Conference on Computers in Education (ICCE 2004), Melbourne, Australia, 30 Nov - 3 Dec 2004 pp. 1153-1163.
Sloper, John Erik and Hines, Evor (2009) Detecting errors in the ATLAS TDAQ system: a neural networks and support vector machines approach. In: IEEE International Conference on Computational Intelligence for Measurement Systems and Applications, Hong Kong, People's Republic of China, May 11-13, 2009. Published in: 2009 IEEE International Conference on Computational Intelligence for Measurement Systems and Applications pp. 252-257. ISBN 978-1-4244-3819-8. doi:10.1109/CIMSA.2009.5069960
Smith, J. A., Hammond, Simon D. and Jarvis, Stephen A. (2011) The effect of an application performance modelling tool. In: UK Performance Engineering Workshop (UKPEW'11), Bradford, United Kingdom, 7-8 July, 2011 (Unpublished)
Smith, J. A., Hammond, Simon D., Mudalige, Gihan R., Davis, J. A., Mills, A. B. and Jarvis, Stephen A. (2009) hpsgprof : a new profiling tool for large-scale parallel scientific codes. In: UK Performance Engineering Workshop (UKPEW 2009), Leeds, UK, 6-7 July 2009. Published in: Proceedings of the 25th UK Performance Engineering Workshop ISBN 9780955970313.
Smith, J. Q. and Daneshkhah, Alireza (2010) On the robustness of Bayesian networks to learning from non-conjugate sampling. In: 4th European Workshop on Probabilistic Graphical Models, Hirtshals, Denmark, September 17-19, 2008. Published in: International Journal of Approximate Reasoning, Vol.51 (No.5). pp. 558-572. doi:10.1016/j.ijar.2010.01.013 ISSN 0888-613X.
Smith, J. Q. and Figueroa, Liliana J. (2007) A causal algebra for dynamic flow networks. In: 2nd European Workshop on Probabilistic Graphical Models, Leiden, Netherlands, 4-8 Oct 2004. Published in: Advances in Probabilistic Graphical Models, Volume 213 pp. 39-54. ISBN 9783540689942. doi:10.1007/978-3-540-68996-6_2 ISSN 1434-9922.
Smith, T. M. A. and Bhalerao, Abhir (2005) Texture transfer using affine transformation groups and patch boundary matching. In: Proceedings of the IEE Visual Information Engineering Conference (VIE'2005), Glasgow, UK, 4-6 Apr 2005 pp. 79-86.
Sondag, Max, Turkay, Cagatay, Mohr, Sibylle, Matthews, Louise, Xu, Kai-Wen and Archambault, Daniel (2022) What is R? A graph drawer’s perspective? In: 29th International Symposium on Graph Drawing and Network Visualization, Tübingen, Germany, 14-17 Sep 2021 (Unpublished)
Song, Yu, Wilson, Roland, Edmondson, Rodney and Parsons, Nicholas R. (2007) Surface modelling of plants from stereo images. In: 6th International Conference on 3-D Digital Imaging and Modeling, Montreal, Canada, 21-23 Jul 2007. Published in: 3DIM 2007: Sixth International Conference on 3-D Digital Imaging and Modeling, Proceedings pp. 312-319. ISBN 9780769529394. doi:10.1109/3DIM.2007.55
Sormoli, Mohammadreza Alipour, Samadi, Amir, Mozaffari, Sajjad, Koufos, Konstantinos, Dianati, Mehrdad and Woodman, Roger (2023) A novel deep neural network for trajectory prediction in automated vehicles using velocity vector field. In: 26th IEEE International Conference on Intelligent Transportation Systems ITSC 2023, Bilbao, Bizkaia, Spain, 24-28 Sep 2023
Spooner, Daniel P., Cao, J., Turner, James D., Lin Choi Keung, H. N., Jarvis, Stephen A. and Nudd, G. R. (2002) Localised workload management using performance prediction and QoS contracts. In: Proceedings of the 18th UK Performance Engineering Workshop (UKPEW), University of Glasgow, UK, 10-11 Jul 2002 pp. 69-81.
Spooner, Daniel P., Jarvis, Stephen A., Cao, J., Nudd, G. R., Saini, S. and Kerbyson, D. J. (2002) Performance-based workload management for grid computing. In: Proceedings of the Los Alamos Computer Science Institute Symposium (LACSI'02), Santa Fe, New Mexico, USA
Spooner, Daniel P., Jarvis, Stephen A., Cao, J., Saini, S. and Nudd, G. R. (2003) Local grid scheduling techniques using performance prediction. In: 18th United Kingdom Performance Engineering Workshop (UKPEW), Glasgow, Scotland, 10-11 Jul 2002. Published in: IEE Proceedings Computers and Digital Techniques, Volume 150 (Number 2). pp. 87-96. doi:10.1049/ip-cdt:20030280 ISSN 1350-2387.
Spooner, Daniel P., Turner, James D., Cao, J., Jarvis, Stephen A. and Nudd, G. R. (2001) Application characterisation using a lightweight transaction model. In: Proceedings of the 17th UK Performance Engineering Workshop (UKPEW), Leeds, UK, 18-19 Jul 2001 pp. 215-227.
Stacey, Patrick, Brown, A. D. (Andrew D.) and Nandhakumar, Joe (2008) Dealing with technical challenges in mobile computer game development: a socio-political perspective. In: 2008 Academy of Management Annual Meeting, Anaheim, California, 8-13 Aug, 2008. Published in: Proceedings of the 2008 Academy of Management Annual Meeting
Stanyer, Dominic and Procter, Rob (1999) Human factors and the WWW : making sense of URLs. In: IFIP TC13 International Conference on Human-Computer Interaction, Edinburgh, UK, 30 Aug-3 Sep 1999. Published in: Human-computer interaction : Interact '99 : IFIP TC13 International Conference on Human-Computer Interaction, 30th August-3rd September 1999, Edinburgh, UK pp. 59-60. ISBN 1902505190.
Stash, Natalia, Cristea, Alexandra I. and Bra, Paul M. E. de (2006) Adaptation to learning styles in E-learning : approach evaluation. In: World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education (ELEARN 2006), Honolulu, Hawaii. Published in: Proceedings of World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education pp. 284-291. ISBN 9781880094600.
Stash, Natalia, Cristea, Alexandra I. and Bra, Paul M. E. de (2004) Authoring of learning styles in adaptive hypermedia : problems and solutions. In: 13th International World Wide Web Conference (WWW 2004), New York, US, 17 - 20 May 2004. Published in: Proceedings of the 13th international World Wide Web conference pp. 114-123. ISBN 1581139128.
Stash, Natalia, Cristea, Alexandra I. and Bra, Paul M. E. de (2006) Empirical evaluation of learning styles adaptation language. In: International Workshop on Authoring of Adaptive and Adaptable Hypermedia (A3H 2006), Dublin, Ireland, 20 Jun 2006
Stash, Natalia, Cristea, Alexandra I. and Bra, Paul M. E. de (2005) Explicit intelligence in adaptive hypermedia : generic adaptation languages for learning preferences and styles. In: International Workshop on Combining Intelligent and Adaptive Hypermedia Methods/Techniques in Web-Based Education Systems, Salzburg, Austria, 6-9 Sep 2005. Published in: Proceedings International Workshop on Combining Intelligent and Adaptive Hypermedia Methods/Techniques in Web-Based Education
Steadman, Liam, Griffiths, Nathan, Jarvis, Stephen A., Bell, M., Helman, S. and Wallbank, C. (2020) Reducing and linking spatio-temporal datasets with kD-STR. In: 3rd ACM SIGSPATIAL International Workshop on Advances in Resilient and Intelligent Cities (ARIC 2020), Seattle, Washington, USA, 3-6 Nov 2020. Published in: ARIC '20: Proceedings of the 3rd ACM SIGSPATIAL International Workshop on Advances in Resilient and Intelligent Cities pp. 10-19. ISBN 9781450381659. doi:10.1145/3423455.3430317
Stepanyan, Karen, Borau, Kerstin and Ullrich, Carsten (2010) A social network analysis perspective on student interaction within the twitter microblogging environment. In: The 10th IEEE International Conference on Advanced Learning Technologies (ICALT) 2010, Sousse, Tunisia, 5-7 July, 2010 pp. 70-72. ISBN 9781424471447. doi:10.1109/ICALT.2010.27
Stepanyan, Karen, Mather, Richard, Jones, Hamilton and Lusuardi, Carlo (2009) Avoiding pitfalls of peer assessment. In: The 9th IEEE International Conference on Advanced Learning Technologies (ICALT) 2009, Riga, Latvia, 15-17 July 2009. Published in: Advanced Learning Technologies, 2009. ICALT 2009 pp. 186-188. ISBN 9780769537115. doi:10.1109/ICALT.2009.137
Stepanyan, Karen, Mather, Richard and Payne, Janet (2007) Awareness of the capabilities and use of social software attributes within and outside the educational context : moving towards collaborative learning with Web 2.0. In: Interactive Computer Aided Learning (ICL2007), Villach, Austria, 26 -28 September, 2007
Stepanyan, Karen, Mather, Richard and Payne, Janet (2007) Integrating social software into course design and tracking student engagement : early results and research perspectives. In: World Conference on E-Learning in Corporate, Government, Healthcare & Higher Education (E-LEARN) 2007, Quebec, Canada, 15-19 October, 2007. Published in: Proceedings of World Conference on E-Learning in Corporate, Government, Healthcare, and Higher Education 2007 pp. 7386-7395. ISBN 1880094630.
Stewart, C., Celik, I., Cristea, Alexandra I. and Ashman, H. (2006) Interoperability between AEH user models. In: International Workshop on Adaptivity, Personalization and the Semantic Web, Odense, Denmark, 23-25 Aug 2006. Published in: APS '06 Proceedings of the joint international workshop on Adaptivity, personalization & the semantic web pp. 21-30. ISBN 1595934537. doi:10.1145/1149933.1149937
Stewart, C., Chandramouli, K., Cristea, Alexandra I., Brailsford, T. and Izquierdo, E. (2008) Cultural artefacts in education : analysis, ontologies and implementation. In: IEEE International Conference on Information Technology in Education (CITE 2008), Wuhan, China, 12-14 Dec 2008. Published in: 2008 International Conference on Computer Science and Software Engineering, Volume 5 pp. 706-709. ISBN 9780769533360. doi:10.1109/CSSE.2008.393
Stewart, C., Cristea, Alexandra I., Brailsford, T. and Ashman, H. (2005) 'Authoring once, delivering many' : creating reusable adaptive courseware. In: 4th IASTED International Conference on Web-Based Education (WBE 2005), Grindelwald, Switzerland, 21-23 Feb 2005 pp. 21-23.
Stocks, Nigel G., Shulgin, Boris V., Holmes, Stephen D., Nikitin, Alexander and Morse, Robert P. (2009) Cochlear implant coding with stochastic beamforming and suprathreshold stochastic resonance. In: International Conference on Applied Nonlinear Dynamics, Poipu Beach, HI, September 24-27, 2007. Published in: Applications of Nonlinear Dynamics Model and Design of Complex Systems pp. 237-248. ISBN 978-3-540-85631-3. doi:10.1007/978-3-540-85632-0_19 ISSN 1860-0832.
Sun, S. and Joy, Mike (2005) Learning objects and learning styles as a foundation for a multi-agent web-based education system. In: 4th IASTED International Conference on WEB-BASED Education (WBE 2005), Grindelwald, Switzerland, 21-23 Feb 2005. Published in: Web-based Education (WBE 2005) pp. 189-194. ISBN 0889864802.
Sun, S. and Joy, Mike (2005) An autonomous multi-agent solution for adaptive education. In: IASTED International Conference on Artificial Intelligence and Applications (AIA 2005), Innsbruck, Austria, 14-16 Feb 2005. Published in: Artificial Intelligence and Applications (AIA 2005) pp. 622-626. ISBN 0889864578.
Sun, S., Joy, Mike and Griffiths, Nathan (2005) The use of learning objects and learning styles in a multi-agent education system. In: ED-MEDIA 2005 - World Conference on Educational Multimedia, Hypermedia and Telecommunications, Montreal, Canada, 27 Jun - 2 Jul 2005. Published in: Proceedings of World Conference on Educational Multimedia, Hypermedia and Telecommunications 2005, Volume 2005 (Number 1). pp. 3403-3410. ISBN 9781880094563.
Sun, Shanghua (2005) Incorporation of Learning Objects and Learning Style - Metadata Support for Adaptive Pedagogical Agent Systems SUPPORTING LEARNING THROUGH INTELLIGENT AND SOCIALLY INFORMED TECHNOLOGY. In: 12th International Conference on Artificial Intelligence in Education (AI-Ed 2005), Amsterdam, NETHERLANDS, JUL 18-22, 2005. Published in: ARTIFICIAL INTELLIGENCE IN EDUCATION, 125 p. 976. ISBN 978-1-58603-530-3. ISSN 0922-6389.
Sun, Shanghua and Joy, Mike (2004) An multi-agent solution for student centred learning. In: Cognition and Exploratory Learning in Digital Age (CELDA), Lisbon, Portugal, 15-17 Dec 2004 pp. 533-534.
Sun, Shanghua, Joy, Mike and Griffiths, Nathan (2005) To support adaptivity in agent-based learning systems - the use of learning objects and learning style. In: 5th IEEE International Conference on Advanced Learning Technologies, Kaohsiung, Taiwan, 05-08 Jul 2005. Published in: 5th IEEE International Conference on Advanced Learning Technologies, Proceedings pp. 846-847. ISBN 0769523382. doi:10.1109/ICALT.2005.285
Sun, Shanghua, Joy, Mike and Griffiths, Nathan (2005) An agent-based approach to dynamic adaptive learning. In: Agent Based Systems for Human Learning (ABSHL) Workshop, the Fourth International Joint Conference on Autonomous Agents and Multi Agent Systems (AAMAS), Utrecht, Netherlands, 25-29 Jul 2005 (Unpublished)
Sun, Shanghua, Joy, Mike and Griffiths, Nathan (2005) An innovative use of learning objects and learning style in pedagogic agent systems. In: 6th Annual Conference of the HEA Network for Information and Computer Sciences, York, UK, 30 Aug - 1 Sep 2005 pp. 115-119.
Sun, Shaobo and Leeson, Mark S. (2009) Transmission performance of spectrum-sliced incoherent 2-D FFH-OCDMA systems using modified prime-hop codes. In: WRI International Conference on Communications and Mobile Computing, Kunming, People's Republic of China, January 06-08, 2009. Published in: 2009 WRI International Conference on Communications and Mobile Computing: CMC 2009, Vol. 2 pp. 531-535. ISBN 978-0-7695-3501-2. doi:10.1109/CMC.2009.102
Sun, Zhaoyue, Li, Jiazheng, Pergola, Gabriele, Wallace, Byron, John, Bino, Greene, Nigel, Kim, Joseph and He, Yulan (2022) PHEE : a dataset for pharmacovigilance event extraction from text. In: Conference on Empirical Methods in Natural Language Processing, Abu Dhabi, United Arab Emirates, 7-11 Dec 2022. Published in: Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing pp. 5571-5587.
Sun, Zhaoyue, Pergola, Gabriele, Wallace, Byron C and He, Yulan (2024) Leveraging ChatGPT in pharmacovigilance event extraction : an empirical study. In: 18th Conference of the European Chapter of the Association for Computational Linguistics, Malta, 17-22 Mar 2024 (In Press)
Sunaders, Nigel J., Mirsky, Jason S., Peden, John F. and Jarvis, Stephen A. (2001) An improved method for identifying horizontally acquired virulence genes. In: Proceedings of the The Federation of Infection Societies (FIS'2000), Manchester, UK, Nov 2000
Suresh, Lalith, Bodik, Peter, Menache, Ishai, Canini, Marco and Ciucu, Florin (2017) Distributed resource management across process boundaries. In: SoCC '17 Symposium on Cloud Computing, Santa Clara, California, 24-27 Sep 2017. Published in: SoCC '17 Proceedings of the 2017 Symposium on Cloud Computing pp. 611-623. ISBN 9781450350280. doi:10.1145/3127479.3132020
Tam, Sidney K. T., Barnes, Tina Angela and Choy, K. L. (2010) Efficacy of IT/IS deployment in small and medium enterprise in China. In: 8th International Conference on Supply Chain Management Systems (SCMIS 2010), Hong Kong Polytechnic University, Hong Kong, China, Oct 6-9, 2010. Published in: Proceedings of the 8th International Conference on Supply Chain Management Systems (SCMIS 2010) pp. 1-7. ISSN ISBN: 978-962-367-696-0.
Tan, G., Jarvis, Stephen A., He, Ligang, Chen, Xinuo, Spooner, Daniel P. and Nudd, G. R. (2005) Modelling web transfer performance over asymmetric networks. In: 11th International Conference on Parallel and Distributed Systems, 2005. Proceedings. , Fukuoka, Japan, Jul 20-22 2005. Published in: 11th International Conference on Parallel and Distributed Systems Workshops, Vol II, Proceedings, pp. 110-114. ISBN 0769522815. doi:10.1109/ICPADS.2005.198
Tan, G., Jarvis, Stephen A., Xue, J. W. J. and Hammond, Simon D. (2007) Distributed broadcast scheduling in mobile ad hoc networks with unknown topologies. In: 6th International Workshop on Performance Modelling, Evaluation and Optimization of Parallel and Distributed Systems (PMEO), Long Beach, California, USA, 26-30 Mar 2007. Published in: IEEE International Parallel and Distributed Processing Symposium, 2007. IPDPS 2007 pp. 1-7. ISBN 1424409101. doi:10.1109/IPDPS.2007.370590
Tan, Guang and Jarvis, Stephen A. (2006) Inter-overlay cooperation in high-bandwidth overlay multicast. In: 35th International Conference on Parallel Processing, Columbus, OH, AUG 14-18, 2006. Published in: 2006 International Conference on Parallel Processing, Proceedings pp. 417-424. ISBN 0-7695-2636-5. doi:10.1109/ICPP.2006.51 ISSN 0190-3918.
Tan, Guang and Jarvis, Stephen A. (2006) Prediction of short-lived TCP transfer latency on bandwidth asymmetric links. In: Workshop on Performance Modelling of Wired and Wireless Mobile Computing and Networks, Fukuoka, Japan, 2005. Published in: Journal of Computer and System Sciences, Volume 72 (Number 7). pp. 1201-1210. doi:10.1016/j.jcss.2006.01.006 ISSN 0022-0000.
Tan, Guang and Jarvis, Stephen A. (2007) Stochastic analysis and improvement of the reliability of DHT-based multicast. In: 26th IEEE Conference on Computer Communications (INFOCOM 2007), Anchorage, AK, 06-12 May 2007. Published in: INFOCOM 2007. 26th IEEE International Conference on Computer Communications. pp. 2198-2206. ISBN 9781424410460. doi:10.1109/INFCOM.2007.254 ISSN 0743-166X.
Tan, Guang, Jarvis, Stephen A. and Kermarrec, Anne-Marie (2009) Connectivity-guaranteed and obstacle-adaptive deployment schemes for mobile sensor networks. In: 28th IEEE International Conference on Distributed Computing Systems (ICDCS 2008), Beijing, China, 17-20 June 2008. Published in: IEEE Transactions on Mobile Computing, Volume 8 (Number 6). pp. 836-848. doi:10.1109/TMC.2009.31 ISSN 1536-1233.
Tan, Guang, Jarvis, Stephen A. and Spooner, Daniel P. (2006) Improving the fault resilience of overlay multicast for media streaming. In: International Conference on Dependable Systems and Networks, Philadelphia, PA, 25-28 Jun 2006. Published in: International Conference on Dependable Systems and Networks, 2006. DSN 2006. pp. 558-567. ISBN 0769526071. ISSN 1530-0889.
Tan, Wee Hoe, Neill, Sean and Johnston-Wilder, Sue (2009) Deep learning and the use of Spore (TM) in A-level biology lessons. In: 3rd European Conference on Games Based Learning, FH Joanneum Univ Appl Sci, Graz, Austria, October 12-13, 2009. Published in: Proceedings of the 3rd European Conference on Games Based Learning pp. 354-361. ISBN 978-1-906638-47-4.
Tan, Xingwei, Pergola, Gabriele and He, Yulan (2021) Extracting event temporal relations via hyperbolic geometry. In: The 2021 Conference on Empirical Methods in Natural Language Processing, Online ; Punta Cana, Dominican Republic, 7–11 Nov 2021. Published in: Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing pp. 8065-8077. doi:10.18653/v1/2021.emnlp-main.636
Tang, Yongchuan, Wu, Lei, Huang, Yubo and Zhou, Deyun (2023) A new classification method using the generalized basic probability assignment. In: 2023 European Control Conference (ECC), Bucharest, Romania, 13-16 Jun 2023. Published in: 2023 European Control Conference (ECC) ISBN 9783907144084. doi:10.23919/ecc57647.2023.10178244
Tang, Yun, Bruto da Costa, Antonio, Zhang, Xizhe, Irvine, Patrick, Khastgir, Siddartha and Jennings, Paul. A. (2023) Domain knowledge distillation from large language model : an empirical study in the autonomous driving domain. In: 26th IEEE International Conference on Intelligent Transportation Systems (ITSC 2023), Bilbao, Spain, 24-28 Sep 2023 (In Press)
Tao, Lei and Anand, Sarabjot Singh (2008) HIREL : an incremental clustering algorithm for relational datasets. In: ICDM '08. Data Mining, 2008. Eighth IEEE International Conference, Pisa, Italy, 15-19 Dec 2008. Published in: Proceedings of the 2008 Eighth IEEE International Conference on Data Mining (ICDM'08) pp. 887-892. ISBN 9780769535029. doi:10.1109/ICDM.2008.116 ISSN 1550-4786.
Taylor, Phillip M., Griffiths, Nathan, Barakat, Lina and Miles, Simon (2017) Stereotype reputation with limited observability. In: 19th International Workshop on Trust in Agent Societies, Sao Paulo, Brazil, 8 -12 May 2017. Published in: Proceedings of the 19th International Workshop on Trust in Agent Societies (Trust@AAMAS 2017)
Taylor, Phillip M., Griffiths, Nathan, Barakat, Lina and Miles, Simon (2017) Stereotype reputation with limited observability. In: AAMAS: International Conference on Autonomous Agents and Multiagent Systems, São Paulo, Brazil, 8-12 May 2017. Published in: Lecture Notes in Artificial Intelligence [LNCS], 10642 pp. 84-102. ISBN 9783319716817. doi:10.1007/978-3-319-71682-4 ISSN 1611-3349.
Taylor, Phillip M., Griffiths, Nathan and Bhalerao, Abhir (2015) Redundant feature selection using permutation methods. In: AutoML workshop @ ICML'15, Lille, France, 11 Jul 2015
Taylor, Phillip M., Griffiths, Nathan and Mouzakitis, Alex (2018) Selection of compressible signals from telemetry data. In: 3rd Mining Urban Data Workshop, London, UK, 20 Aug 2018. Published in: Proceedings of Mining Urban Data Workshop (MUD3) (Unpublished)
Taylor, Phillip M., Griffiths, Nathan, Xu, Zhou and Mouzakitis, Alexandros (2019) Data mining and compression : where to apply it and what are the effects? In: 8th SIGKDD International Workshop on Urban Computing, Anchorage, Alaska , 5 Aug 2019. Published in: Proceedings of the 8th SIGKDD International Workshop on Urban Computing doi:10.1145/1122445.1122456
Thejaswini, K. S., Ohlmann, Pierre and Jurdzinski, Marcin (2022) A technique to speed up symmetric attractor-based algorithms for parity games. In: The 42nd Conference on Foundations of Software Technology and Theoretical Computer Science (FSTTCS), Chennai, India, 15-20 Dec 2022. Published in: Proceedings of the 42nd IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science (FSTTCS 2022), 250 44:1-44:20. ISBN 9783959772617. doi:10.4230/LIPIcs.FSTTCS.2022.32 ISSN 1868-8969.
Thomason, Alasdair, Leeke, Matthew, Bradbury, Matthew S. and Jhumka, Arshad (2013) Evaluating the impact of broadcast rates and collisions on fake source protocols for source location privacy. In: 12th IEEE International Conference on Trust, Security and Privacy in Computing and Communications (TrustCom'13), Melbourne, Australia, 16-18 July 2013. Published in: Proceedings: 12th IEEE International Conference on Trust, Security and Privacy in Computing and Communications (TrustCom 2013) pp. 667-674. doi:10.1109/TrustCom.2013.81
Thönnes, Elke, Bhalerao, Abhir and Parr, David (2010) Classification of lung disease in HRCT scans using integral geometry measures and functional data analysis. In: Medical Image Understanding and Analysis (MIUA'10), Coventry, UK, 6-7 July, 2010. Published in: Proceedings of Medical Image Understanding and Analysis pp. 25-30. ISBN 9780956615008.
Tiskin, Alexander (2019) Bounded-length Smith-Waterman alignment. In: 19th International Workshop on Algorithms in Bioinformatics (WABI 2019), Niagara Falls, NY, USA, 8-10 Sep 2019, 143 16:1-16:12. ISBN 9783959771238. doi:10.4230/LIPIcs.WABI.2019.16 ISSN 1868-8969.
Tiskin, Alexander (2010) Parallel selection by regular sampling. In: 16th International Euro-Par Conference on Parallel Processing, Ischia, Italy, August 31 - September 03 2010 doi:10.1007/978-3-642-15291-7_36
Tiskin, Alexander (2009) Periodic string comparison. In: 20th Annual Symposium on Combinatorial Pattern Matching, Lille, France, June 22-24, 2009. Published in: Lecture Notes in Computer Science, Vol.5577 pp. 193-206. ISBN 978-3-642-02440-5. doi:10.1007/978-3-642-02441-2_18 ISSN 0302-9743.
Tkachenko, Nataliya, Zubiaga, Arkaitz and Procter, Rob (2017) WISC at MediaEval 2017 : multimedia satellite task. In: MediaEval 2017 : Multimedia Benchmark Workshop, Dublin, Ireland, 13-15 Sep 2017. Published in: Working Notes Proceedings of the MediaEval 2017 Workshop, Dublin, Ireland, September 13-15, 2017, 1984
Tong, C. F., Mawby, P. A. and Covington, James A. (2009) 'Field balanced' SG-RSO structure showing tremendous potential for low voltage trench MOSFETs. In: 13th European Conference on Power Electronics and Applications (EPE 2009), Barcelona, Spain, September 08-10, 2009. Published in: EPE: 2009 13th European Conference on Power Electronics and Applications, Vols.1-9 pp. 5471-5475. ISBN 978-1-4244-4432-8.
Townsend, Richard, Tsakalidis, Adam, Zhou, Yiwei, Wang, Bo, Liakata, M., Zubiaga, Arkaitz, Cristea, Alexandra I. and Procter, Rob (2015) WarwickDCS : from phrase-based to target-specific sentiment recognition. In: 9th International Workshop on Semantic Evaluation (SemEval 2015), Denver, Colorado, 4-5 Jun 2015. Published in: Proceedings of the 9th International Workshop on Semantic Evaluation (SemEval 2015) pp. 657-663.
Tran-Thanh, Long, Huynh, Trung Dong, Rosenfeld, Avi, Ramchurn, Sarvapali and Jennings, Nicholas R. (2014) BudgetFix : budget limited crowdsourcing for interdependent task allocation with quality guarantees. In: AAMAS '14: International conference on Autonomous Agents and Multi-Agent Systems, Paris, France, 5-9 May 2014. Published in: AAMAS '14: Proceedings of the 2014 International Conference on Autonomous Agents and Multi-Agent Systems pp. 477-484. ISSN 9781450327381.
Tran-Thanh, Long, Huynh, Trung Dong, Rosenfeld, Avi, Ramchurn, Sarvapali D. and Jennings, Nicholas R. (2015) Crowdsourcing complex workflows under budget constraints. In: The Twenty-Ninth AAAI Conference on Artificial Intelligence (AAAI-15), Austin, Texas, 25-29 Jan 2015. Published in: AAAI'15: Proceedings of the Twenty-Ninth AAAI Conference on Artificial Intelligence pp. 1298-1304. ISBN 0262511290.
Tran-Thanh, Long, Stavrogiannis, Lampros, Naroditskiy, Victor, Robu, Valentin, Jennings, Nicholas R. and Key, Peter (2014) Efficient regret bounds for online bid optimisation in budget-limited sponsored search auctions. In: UAI'14: Thirtieth Conference on Uncertainty in Artificial Intelligence, Quebec City, Quebec, Canada, 23-27 Jul 2014. Published in: UAI'14: Proceedings of the Thirtieth Conference on Uncertainty in Artificial Intelligence pp. 809-818. ISBN 9780974903910.
Triantafillou, Peter (2018) Towards intelligent distributed data systems for scalable efficient and accurate analytics. In: 38 IEEE International Conference on Distributed Computing Systems, ICDCS, Vienna, Austria, 2-5 Jul 2018. Published in: 2018 IEEE 38th International Conference on Distributed Computing Systems (ICDCS) doi:10.1109/ICDCS.2018.00119 ISSN 2575-8411.
Truby, David R., Bertolli, Carlo, Wright, Steven A., Bercea, Gheorghe-Teodor, O’Brien, Kevin and Jarvis, Stephen A. (2018) Implicit mapping of pointers inside C++ Lambda closure objects in OpenMP target offload regions. In: UK OpenMP Users' Conference 2018, St Catherine's College, Oxford, 21-22 May 2018 (Unpublished)
Tseng, Chien-Hsun and Cole, Marina (2007) Adaptive neuro-fuzzy inference systems for wideband signal recovery in a noise-limited environment. In: IEEE International Conference on Fuzzy Systems, London, UK, 23-26 Jul 2007. Published in: 2014 IEEE International Conference on Fuzzy Systems (FUZZ-IEEE) pp. 756-761. ISBN 9781424412099. doi:10.1109/FUZZY.2007.4295461 ISSN 1544-5615.
Turner, James D., Bacigalupo, David A., Jarvis, Stephen A. and Nudd, G. R. (2002) Using a transaction definition language for the automated ARMing of web services. In: CMG UK Conference on Technology Management and Performance Evaluation of Enterprise-Wide Information Systems
Turtiainen, Eeva, Blignaut, Seugnet, Els, Christo, Laine, Teemu and Sutinen, Erkki (2009) Story-based UFractions mobile game in South Africa : contextualization process and multidimensional playing experiences. In: Second Workshop of Story Telling and Educational Games (STEG 2009), Aachen, Germany, 19-21 Aug 2009 (Unpublished)
Vesper, Malte, Koch, Dirk, Vipin, Kizheppatt and Fahmy, Suhaib A. (2016) JetStream : an open-source high-performance PCI express 3 streaming library for FPGA-to-host and FPGA-to-FPGA communication. In: International Conference on Field-Programmable Logic and Applications, Lausanne, Switzerland, 29 Aug - 2 Sep 2016. Published in: Proceedings of the International Conference on Field-Programmable Logic and Applications
Visram, Zabin and Joy, Mike (2003) Group assessment for computer science projects. In: 4th Annual Conference of the LTSN Centre for Information and Computer Sciences, Galway, Eire, 26-28 Aug 2003. Published in: Proceedings of the 4th Annual Conference of the LTSN Centre for Information and Computer Sciences pp. 49-53.
Voss, Alex, Procter, Rob, Slack, Roger, Hartswood, Mark, Williams, Robin and Rouncefield, Mark (2001) Production management and ordinary action : an investigation of situated, resourceful action in production planning and control. In: 20th Workshop of the UK Planning and Scheduling Special Interest Group, Edinburgh, UK, 13-14 Dec 2001. Published in: Title PLANSIG 2001 : proceedings of the twentieth workshop of the UK Planning and Scheduling Special Interest Group, 13th-14th December 2001 pp. 230-243.
Vousden, Janet I. and Brown, G. D. A. (Gordon D. A.) (1997) To repeat or not to repeat: the time course of response suppression in sequential behaviour. In: 4th Neural Computation and Psychology Workshop (NCPW4), London, UK, 9-11 Apr 1997. Published in: Proceedings of the Fourth Neural Computation and Psychology Workshop: Connectionist representations pp. 301-316. ISBN 3-540-76208-6. ISSN 1431-6854.
Wagner, E. and Newell, Susan (2010) Rethinking Packaged Software and 'Best' Practices. In: 18th European Conference on Information Systems, Pretoria, South America, 6-9th of Jun, 2010. Published in: Proceedings of the 18th European Conference on Information Systems
Wang, Bo, Liakata, Maria, Zubiaga, Arkaitz and Procter, Rob (2016) TDParse - multi-target-specific sentiment recognition on Twitter. In: The 15th Conference of the European Chapter of the Association for Computational Linguistics, Valencia, Spain, 3-7 April 2017
Wang, Bo, Liu, Guo-Ping and Rees, David (2009) Networked predictive control of magnetic levitation system. In: IEEE International Conference on Systems, Man and Cybernetics, San Antonio, TX, October 11-14, 2009. Published in: 2009 IEEE International Conference on Systems, Man and Cybernetics (SMC 2009), Proceedings pp. 4100-4105. ISBN 978-1-4244-2793-2. doi:10.1109/ICSMC.2009.5346700 ISSN 1062-922X.
Wang, Bo, Zubiaga, Arkaitz, Liakata, Maria and Procter, Rob (2015) Making the most of tweet-inherent features for social spam detection on Twitter. In: 5th Workshop on Making Sense of Microposts, Florence, Italy, 18 May 2015. Published in: Proceedings of the the 5th Workshop on Making Sense of Microposts, 1395 pp. 10-16. ISSN 1613-0073.
Wang, Haoyi, Wei, Xingjie, Sanchez Silva, Victor and Li, Chang-Tsun (2018) Fusion network for face-based age estimation. In: 2018 25th IEEE International Conference on Image Processing (ICIP) , Athens, Greece, 7-10 Oct 2018 pp. 2675-2679. ISBN 9781479970612. doi:10.1109/ICIP.2018.8451606
Wang, Jing, Liu, Zichen, Ma, Shuai, Ntarmos, Nikos and Triantafillou, Peter (2018) GC : a graph caching system for subgraph / supergraph queries. In: 44th International conference on very large databases 2018, Rio de Janeiro, Brazil, 27-31 Aug 2018. Published in: Proceedings of the VLDB Endowment, 11 (12). pp. 2022-2025. doi:10.14778/3229863.3236250 ISSN 2150-8097.
Wang, Jing, Ntarmos, Nikos and Triantafillou, Peter (2016) Indexing query graphs to speedup graph query processing. In: EDBT : 19th International Conference on Extending Database Technology, Bordeaux, France , 15-18 Mar 2016. Published in: Advances in database technology - EDBT 2016 19th International Conference on Extending Database Technology, Bordeaux, France, March 15-18, 2016 : proceedings pp. 41-52. ISBN 9783893180707 .
Wang, Kangrui, Hamelijnck, Oliver, Damoulas, Theodoros and Steel, Mark F. J. (2020) Non-separable non-stationary random fields. In: 37th International Conference on Machine Learning, ICML 2020, Remote, 13-18 Jul 2020. Published in: Proceedings of the 37th International Conference on Machine Learning, 119 pp. 9887-9897.
Wang, Rui, Hu, Xuemeng, Zhou, Deyu, He, Yulan, Xiong, Yuxuan, Ye, Chenchen and Xu, Haiyang (2020) Neural topic modeling with bidirectional adversarial training. In: The 58th annual meeting of the Association for Computational Linguistics (ACL), Virtual conference, 5-10 Jul 2020. Published in: Proceedings of The 58th annual meeting of the Association for Computational Linguistics (ACL) pp. 340-350. ISBN 9781952148255.
Wang, Rui, Zhou, Deyu and He, Yulan (2019) Open event extraction from online text using a generative adversarial network. In: 2019 Conference on Empirical Methods in Natural Language Processing and 9th International Joint Conference on Natural Language Processing, Hong Kong, China, 3-7 Nov 2019. Published in: Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing pp. 282-291. ISBN 9781950737901.
Wang, Shuang, Eravci, Bahaeddin, Guliyev, Rustam and Ferhatosmanoglu, Hakan (2023) Low-bit quantization for deep graph neural networks with smoothness-aware message propagation. In: Proceedings of the 32nd ACM International Conference on Information and Knowledge Management, Birmingham, United Kingdom, 21 -25 Oct 2023. Published in: Proceedings of the 32nd ACM International Conference on Information and Knowledge Management ISBN 979840070124. doi:10.1145/3583780.3614955 (In Press)
Wang, Yanxiang, Du, Bowen, Shen, Yiran, Wu, Kai, Zhao, Guangrong, Sun, Jianguo and Wen, Hongkai (2020) EV-Gait : Event-based robust gait recognition using dynamic vision sensors. In: 2019 IEEE International Conference on Computer Vision and Pattern Recognition, Long Beach, CA, 15-20 Jun 2019. Published in: 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR) ISBN 9781728132945. doi:10.1109/CVPR.2019.00652 ISSN 2575-7075.
Warburton, Richard and Kalvala, Sara (2009) From specification to optimisation: an architecture for optimisation of Java bytecode. In: 18th International Conference on Compiler Construction, York, England, MAR 22-29, 2009. Published in: Lecture Notes in Computer Science, 5501 pp. 17-31. ISBN 978-3-642-00721-7. doi:10.1007/978-3-642-00722-4 ISSN 0302-9743.
Ward, Ashley, Sitthiworachart, Jirarat and Joy, Mike (2004) Aspects of web-based peer assessment systems for teaching and learning computer programming. In: 3rd IASTED International Conference on Web-based Education (WBE 2004), Innsbruck, Austria, 16-18 Feb 2004. Published in: Proceedings of the IASTED International Conference on Web-Based Education pp. 292-297. ISBN 0889864063.
Ward, Peter M. and Monze, Mweene (2013) Stockout eliminator : the objectives and design of the eZICS technical solution in Zambia. In: 6th Global Health Supply Chain Summit, Addis Ababa, Ethiopia, 18-20 Nov 2013
Waters, M. (Matthew), Young, K. (Ken) and Baxter, Ira (2008) Calculating software metrics for ladder logic. In: 5th International Conference on Informatics in Control, Automation and Robotics, Funchal, Portugal, May 11-15, 2008. Published in: ICINCO 2008: Proceedings of the Fifth International Conference on Informatics in Control, Automation and Robotics, Vol.2 pp. 143-150. ISBN 978-989-8111-31-9.
Watkins, George, Montana, Giovanni and Branke, Jürgen (2023) Generating a graph colouring heuristic with deep Q-learning and graph neural networks. In: The 17th Learning and Intelligent Optimization Conference, Nice, France, 4-8 Jun 2023. Published in: Learning and Intelligent Optimization. LION 2023, 14286 ISBN 9783031445040. doi:10.1007/978-3-031-44505-7_33
Wei, Chia-Hung and Li, Chang-Tsun (2006) Calcification descriptor and relevance feedback learning algorithms for content-based mammogram retrieval. In: 8th International Workshop on Digital Mammography, Manchester, ENGLAND, JUN 18-21, 2006. Published in: DIGITAL MAMMOGRAPHY, PROCEEDINGS, 4046 pp. 307-314. ISBN 3-540-35625-8. ISSN 0302-9743.
Wei, Chia-Hung and Li, Chang-Tsun (2006) Learning pathological characteristics from user's relevance feedback for content-based mammogram retrieval. In: 8th IEEE International Symposium on Multimedia, San Diego, CA, DEC 11-13, 2006. Published in: ISM 2006: EIGHTH IEEE INTERNATIONAL SYMPOSIUM ON MULTIMEDIA, PROCEEDINGS pp. 738-741. ISBN 978-0-7695-2746-8. doi:10.1109/ISM.2006.101
Wei, Chia-Hung, Li, Yue and Li, Chang-Tsun (2007) Effective extraction of Gabor features for adaptive mammogram retrieval. In: IEEE International Conference on Multimedia and Expo (ICME 2007), Beijing, China, 2-5, Jul 2007. Published in: 2007 IEEE International Conference on Multimedia and Expo pp. 1503-1506. ISBN 9781424410163.
Wei, Chuheng, Wu, Guoyuan, Barth, Matthew, Chan, Pak Hung, Donzella, Valentina and Huggett, Anthony (2023) Enhanced object detection by integrating camera parameters into raw image-based faster R-CNN. In: 2023 IEEE 26th International Conference on Intelligent Transportation Systems (ITSC)., Bilbao, Bizkaia, Spain, 24-28 Sep 2023 (In Press)
Wei, Xingjie and Li, Chang-Tsun (2013) Fixation and saccade based face recognition from single image per person with various occlusions and expressions. In: 2013 IEEE Conference on Computer Vision and Pattern Recognition Workshop on Biometrics (CVPRW'13), Portland, USA, 23-28 June 2013. Published in: 2013 IEEE Conference on Computer Vision and Pattern Recognition Workshop on Biometrics (CVPRW'13) pp. 70-75. doi:10.1109/CVPRW.2013.18
Wei, Xingjie, Li, Chang-Tsun and Hu, Yongjian (2013) Face recognition with occlusion using dynamic image-to-class warping (DICW). In: IEEE International Conference on Automatic Face and Gesture Recognition (FG), Shanghai, China, 22-26 April 2013. Published in: 2013 10th IEEE International Conference and workshops on Automatic Face and Gesture Recognition (FG) pp. 1-6. ISBN 9781467355452. doi:10.1109/FG.2013.6553747
Wei, Xingjie, Li, Chang-Tsun and Hu, Yongjian (2012) Robust face recognition under varying illumination and occlusion considering structured sparsity. In: 2012 International Conference on Digital Image Computing Techniques and Applications (DICTA), Fremantle, Western Austraila, 3-5 Dec 2012. Published in: 2012 International Conference on Digital Image Computing Techniques and Applications (DICTA) pp. 1-7. ISBN 9781467321808. doi:10.1109/DICTA.2012.6411704
Wei, Xingjie, Li, Chang-Tsun and Hu, Yongjian (2013) Robust face recognition with occlusions in both reference and query images. In: 2013 International Workshop on Biometrics and Forensics (IWBF), Lisbon, Portugal , 4-5 April 2013. Published in: 2013 International Workshop on Biometrics and Forensics (IWBF) pp. 1-4. ISBN 9781467349871. doi:10.1109/IWBF.2013.6547305
Wentzler, Angelo, Cristea, Alexandra I., Heuvelman, Egbert and Bra, Paul M. E. de (2006) A step towards commercial adaptive hypermedia. In: International Workshop on Authoring of Adaptive and Adaptable Hypermedia (A3H 2006), Dublin, Ireland, 20 Jun 2006
Whitaker, Brendan, Newman-Griffis, Denis, Haldar, Aparajita, Ferhatosmanoglu, Hakan and Fosler-Lussier, Eric (2019) Characterizing the impact of geometric properties of word embeddings on task performance. In: 3rd Workshop on Evaluating Vector Space Representations for NLP, Minneapolis, USA, 6 Jun 2019. Published in: Proceedings of the 3rd Workshop on Evaluating Vector Space Representations for NLP pp. 8-17.
Whitby-Strevens, Colin and Croxon, A. H. (1972) Systemware : a technique for digital emulation and interfacing. In: ACM National Conference, Boston, Massachusetts, 1972. Published in: ACM '72 Proceedings of the ACM annual conference pp. 590-598. doi:10.1145/800193.569975
White, David and Stuart, A. M. (2009) Green's functions by Monte Carlo. In: 8th International Conference on Monte Carlo and Quasi-Monte Carlo Methods in Scientific Computing (MCQMC 08), Univ Montreal, Montreal, CANADA, JUL 06-11, 2008. Published in: Monte Carlo and Quasi-Monte Carlo Methods 2008 pp. 627-636. ISBN 978-3-642-04106-8. doi:10.1007/978-3-642-04107-5-41
Wilson, Roland (1980) Complementary sequences for multivariable system identification. In: Decision and Control including the Symposium on Adaptive Processes, 19th IEEE Conference on, Albuquerque, New Mexico, USA, 10-12 December 1980. Published in: IEEE Conference on Decision and Control. Proceedings, Vol.19 pp. 693-694. doi:10.1109/CDC.1980.271889
Wilson, Roland (2000) MGMM : multiresolution Gaussian mixture models for computer vision. In: 15th International Conference on Pattern Recognition (ICPR-2000), Barcelona, Spain, 03-07 Sep 2000. Published in: 15th International Conference on Pattern Recognition, 2000. Proceedings. pp. 212-215. ISBN 0769507506. ISSN 1051-4651.
Wilson, Roland (1984) Uncertainty, Eigenvalue problems and filter design. In: IEEE International Conference on ICASSP '84 Acoustics, Speech, and Signal Processing. Published in: IEEE International Conference on ICASSP '84 Acoustics, Speech, and Signal Processing, Volume 9 pp. 164-167.
Wilson, Roland, Meulemans, P. R., Calway, Andrew David and Kruger, S. (1998) Image sequence analysis and segmentation using G-blobs. In: IEEE International Conference on Image Processing, Chicago, IL, 4 - 7 Oct 1998. Published in: 1998 International Conference on Image Processing, 1998. ICIP 98. Proceedings. , Volume 2 pp. 483-487. ISBN 0818688211.
Wilson, Roland and Rajpoot, Nasir M. (2003) Image volume denoising using a Fourier-wavelet basis. In: 6th Baiona Workshop on Signal Processing in Communications (Baiona SPC 2003), Baiona, Spain, Sep 2003
Wong, Ada, Chau, Patrick Y. K., Scarbrough, Harry and Davison, Robert (2005) Critical Failure Factors in ERP Implementation. In: 9th Pacific Asia Conference on Information Systems (PACIS 2005), Bangkok, Thailand, JUL, 2005. Published in: Pacific Asia conference on information systems 2005, Sections 1-8 and Poster sessions 1-6 pp. 492-505.
Wright, Steven A., Hammond, Simon D., Pennycook, Simon J. and Jarvis, Stephen A. (2011) Light-weight parallel I/O analysis at scale. In: 8th European Performance Workshop (EPEW11), Borrowdale, United Kingdom, 12-13th October, 2011. Published in: Lecture Notes in Computer Science, 6977 pp. 235-249. doi:10.1007/978-3-642-24749-1 ISSN 0302-9743.
Wright, Steven A., Hammond, Simon D., Pennycook, Simon J., Miller, I., Herdman, J. A. and Jarvis, Stephen A. (2012) LDPLFS : improving I/O performance without application modification. In: 13th IEEE International Workshop on Parallel and Distributed Scientific and Engineering Computing, Shanghai, China, 21-25 May 2012. Published in: 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW) pp. 1352-1359. ISBN 9781467309745. doi:10.1109/IPDPSW.2012.172
Wright, Steven A. and Jarvis, Stephen A. (2015) Quantifying the effects of contention on parallel file systems. In: 16th IEEE International Workshop on Parallel and Distributed Scientific and Engineering Computing, Hyderabad, India, 25-29 May 2015. Published in: 2015 IEEE 29th International Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW) pp. 932-940. doi:10.1109/IPDPSW.2015.8
Wright, Steven A., Pennycook, Simon J., Hammond, Simon D. and Jarvis, Stephen A. (2011) RIOT : a parallel input/output tracer. In: Proceedings of the UK Performance Engineering Workshop (UKPEW'11), Bradford, United Kingdom, 7-8 July, 2011 (Unpublished)
Wu, Hao, He, Ligang, Li, Chang-Tsun, Li, Junyu, Wu, Wentai and Maple, Carsten (2022) MGGAN : Improving sample generations of Generative Adversarial Networks. In: 2021 IEEE 23rd Int Conf on High Performance Computing & Communications; 7th Int Conf on Data Science & Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application (HPCC/DSS/SmartCity/DependSys), Haikou, Hainan, China, 20-22 Dec 2021. Published in: 2021 IEEE 23rd Int Conf on High Performance Computing & Communications; 7th Int Conf on Data Science & Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud & Big Data Systems & Application (HPCC/DSS/SmartCity/DependSys) ISBN 9781665494571. doi:10.1109/HPCC-DSS-SmartCity-DependSys53884.2021.00073
Wu, Si, Feng, Jianfeng and Amari, Shun-ichi (2006) The ideal noisy environment for fast neural computation. In: 3rd International Symposium on Neural Networks (ISSN 2006), Chengdu, PEOPLES R CHINA, MAY 28-31, 2006. Published in: ADVANCES IN NEURAL NETWORKS - ISNN 2006, PT 1, 3971 (Part 1). pp. 1-6. ISBN 3-540-34439-X. ISSN 0302-9743.
Wu, Yongtao, Liu, Fanghui, Chrysos, Grigorios and Cevher, Volkan (2023) On the convergence of encoder-only shallow transformers. In: Thirty-seventh Conference on Neural Information Processing Systems, New Orleans, USA, 10-16 Dec 2023
Xiang, Lichuan, Dudziak, Łukasz, Abdelfattah, Mohamed S., Chau, Thomas, Lane, Nicholas D. and Wen, Hongkai (2023) Zero-cost operation scoring in differentiable architecture search. In: Thirty-Seventh AAAI Conference on Artificial Intelligence, Washington, DC, USA, 7-14 Feb 2023. Published in: Proceedings of the AAAI Conference on Artificial Intelligence, 37 (9). pp. 10453-10463. doi:10.1609/aaai.v37i9.26243
Xiang, Lichuan, Hunter, Rosco, Dudziak, Łukasz, Xu, Minghao and Wen, Hongkai (2023) Exploiting network compressibility and topology in zero-cost NAS. In: International Conference on Automated Machine Learning (AutoML 2023), Potsdam/Berlin, Germany, 12–15 Sep 2023 (In Press)
Xiao, Yichen, Ou, Han-Ching, Chen, Haipeng, Nguyen, Van Thieu and Tran-Thanh, Long (2022) Sequential vaccine allocation with delayed feedback. In: International Joint Conference on Artificial Intelligence (IJCAI 2022), Vienna, Austria, 25-29 Jul 2022. Published in: Proceedings of the 31st International Joint Conference on Artificial Intelligence (IJCAI 2022) pp. 5199-5205. doi:10.24963/ijcai.2022/722
Xiaoxuan Lu, Chris, Bowen, Du, Wen, Hongkai, Wang, Sen, Markham, Andrew, Martinovic, Ivan, Shen, Yiran and Trigoni, Niki (2017) Snoopy : sniffing your smartwatch passwords via deep sequence learning. In: Ubicomp ’18: The 2018 ACM International Joint Conference on Pervasive and Ubiquitous Computing, Singapore, 8-12 Oct 2018. Published in: Proceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies (IMWUT), 1 (4). p. 152. doi:10.1145/3161196
Xiaoxuan Lu , Chris, Du, Bowen, Zhao, Peijun, Wen, Hongkai, Shen, Yiran, Markham, Andrew and Trigoni, Niki (2018) DeepAuth : in-situ authentication for smartwatches via deeply learned behavioural biometrics. In: ISWC '18 : International Symposium on Wearable Computers, Singapore, 8–12 Oct 2018. Published in: ISWC '18: Proceedings of the 2018 ACM International Symposium on Wearable Computers pp. 204-207. ISBN 9781450359672. doi:10.1145/3267242.3267252
Xu, Haifeng, Tran-Thanh, Long and Jennings, Nick (2016) Playing repeated security games with no prior knowledge. In: 15th International Conference on Autonomous Agents & Multiagent Systems (AAMAS 2016), Singapore , 9-13 May 2016. Published in: AAMAS '16: Proceedings of the 2016 International Conference on Autonomous Agents & Multiagent Systems pp. 104-112. ISBN 9781450342391. doi:10.5555/2936924.2936944
Xu, Jinsheng, Zhao, Jian, Xu, Tianhua and Wong, Kenneth K. Y. (2021) Opto-electronic neural networks based on few-mode fiber. In: International Conference on Optical Communications and Networks (ICOCN), Qufu, China, 23-27 Aug 2021. Published in: 2021 19th International Conference on Optical Communications and Networks (ICOCN) pp. 1-3. ISBN 9781665424462. doi:10.1109/ICOCN53177.2021.9563734
Xue, James W. J., Chester, Adam P., He, Ligang and Jarvis, Stephen A. (2008) Dynamic resource allocation in enterprise systems. In: 14th International Conference on Parallel and Distributed Systems, Melbourne, Australia , Dec 08-10, 2008. Published in: 14th International Conference on Parallel and Distributed Systems (ICPADS 2008) pp. 203-212. ISBN 978-0-7695-3434-3. doi:10.1109/ICPADS.2008.104
Xue, James W. J., He, Ligang and Jarvis, Stephen A. (2007) A scheduling algorithm for revenue maximisation for cluster-based Internet services. In: International Conference on Parallel and Distributed Systems, Hsinchu, Taiwan, 05-07 Dec 2007. Published in: 2007 International Conference on Parallel and Distributed Systems , Volume 2 pp. 853-860. ISBN 9781424418893. doi:10.1109/ICPADS.2007.4447841 ISSN 1521-9097.
Yan, Hanqi, Gui, Lin, Li, Wenjie and He, Yulan (2022) Addressing token uniformity in transformers via singular value transformation. In: Uncertainty in Artificial Intelligence, Eindhoven, The Netherlands, 01-05 Aug 2022. Published in: Proceedings of Machine Learning Research, 180 pp. 2181-2191. ISSN 2640-3498.
Yan, Hanqi, Gui, Lin, Pergola, Gabriele and He, Yulan (2021) Position bias mitigation : a knowledge-aware graph model for emotion cause extraction. In: 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing (ACL-IJCNLP 2021), Bangkok, Thailand, 1-6 Aug 2021. Published in: Proceedings of 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing (ACL-IJCNLP 2021) pp. 3364-3375. ISBN 9781954085527.
Yan, Liping and Yu, Weiren (2023) SimSky : an accuracy-aware algorithm for single-source SimRank search. In: ECML PKDD, 2023, Torino, Italy, 18 -22 Sep 2023. Published in: Machine Learning and Knowledge Discovery in Databases: Research Track. ECML PKDD 2023., 14171 pp. 226-241. ISBN 9783031434174. doi:10.1007/978-3-031-43418-1_14
Yang, Liwei, Ikram, Magzhan, Gurumani, Swathi, Fahmy, Suhaib A., Chen, Deming and Rupnow, Kyle (2015) JIT trace-based verification for high-level synthesis. In: International Conference on Field Programmable Technology (FPT), Queenstown, New Zealand, 7-9 Dec 2015. Published in: Proceedings of the International Conference on Field Programmable Technology pp. 228-231.
Yang, Shanshan, (Researcher in computer science) and Joy, Mike (2006) Approaches for teaching prolog to beginners. In: 7th Annual Conference of the HEA Network for Information and Computer Sciences, Dublin, Eire, 29-31 Aug 2006 pp. 106-110.
Yang, Shanshan, (Researcher in computer science) and Joy, Mike (2009) Designing e-learning services. In: International Conference on E-learning in the Workplace (ICELW 2009), New York, 10-12 Jun 2009 (Unpublished)
Yang, Shanshan, (Researcher in computer science) and Joy, Mike (2008) SOA services in higher education. In: 7th WSEAS International Conference on Education and Educational Technology, Venice, Italy, 21-23 Nov 2008 (Unpublished)
Yang, Shanshan, (Researcher in computer science), Joy, Mike and Laine, Teemu (2011) An educational services architecture to share e-learning resources. In: 3rd International Conference on Computer Supported Education (CSEDU2011), Noordwijkerhout, Netherlands, 06-08 May 2011 pp. 372-375. (Unpublished)
Yang, Shanshan, (Researcher in computer science), Joy, Mike and Nurse, Jason R. C. (2011) Experiences on sharing e-learning resources using service technologies. In: 14th IASTED International Conference on Computers and Advanced Technology in Education (CATE 2011), Cambridge, UK, 11-13 July 2011 pp. 283-289. doi:10.2316/P.2011.734-060
Yang, Yang, Zhou, Deyu, He, Yulan and Zhang, Meng (2019) Interpretable relevant emotion ranking with event-driven attention. In: 2019 Conference on Empirical Methods in Natural Language Processing and 9th International Joint Conference on Natural Language Processing (EMNLP-IJCNLP), Hong Kong, China, 3-7 Nov 2019. Published in: Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing (EMNLP-IJCNLP) pp. 177-187. doi:10.18653/v1/D19-1017
Yao, Zhen and Rajpoot, Nasir M. (2002) Less redundant codes for variable size dictionaries. In: IEEE Data Compression Conference (DCC 2002), Utah, US, 2-4 Apr 2002. Published in: Proceedings DCC 2002 : data compression conference p. 481. ISBN 0769514774. ISSN 1068-0314.
Yap, Kevin Yi-Lwern, Chan, Alexandre, Chen, Yu Zong and Chui, Wai Keung (2008) Computer-assisted detection of anticancer-psychotropic drug interactions. In: 22nd Federation of Asian Pharmaceutical Associations Congress, Grand Copthorne Waterfront Hotel, Singapore, Singapore, Nov 7-10, 2008
Yap, Kevin Yi-Lwern, Ho, Y. and Chan, Alexandre (2009) Harnessing the cloud for managing oncology drug interactions in cancer patients with depression. In: 9th Asian Conference on Clinical Pharmacy (ACCP), Seoul, South Korea, Sep 26-28, 2009
Yaseen, A., Abbasi, W. and Minhas, Fayyaz ul Amir Afsar (2018) Protein binding affinity prediction using support vector regression and interfecial features. In: 2018 15th International Bhurban Conference on Applied Sciences and Technology (IBCAST), Islamabad, Pakistan, 9-13 Jan 2018. Published in: Proceedings of 2018 15th International Bhurban Conference on Applied Sciences & Technology (IBCAST) : 9th-13th January, 2018 pp. 194-198. ISBN 9781538635643. doi:10.1109/IBCAST.2018.8312222
Yatbaz, Hakan Yekta, Dianati, Mehrdad, Koufos, Konstantinos and Woodman, Roger (2023) Introspection of 2D object detection using processed neural activation patterns in automated driving systems. In: 2023 IEEE/CVF International Conference on Computer Vision Workshops (ICCVW), Paris, France, 02-06 Oct 2023 ISBN 2473-9944. doi:10.1109/ICCVW60793.2023.00437 ISSN 9798350307443.
Yates, J. W. T., Gardner, J. W., Chappell, M. J. (Michael J.), Bolt, F., Beeby, L. and Dowson, Christopher G. (2003) Chemical sensor screening of blood samples : robust analysis via data set reduction. In: 5th IFAC Symposium on Modelling and Control in Biomedical Systems, Melbourne, Australia, 21-23 Aug 2003. Published in: Modellling and Control in Biomedical Systems 2003 (including Biology Systems) pp. 289-294. ISBN 0080441599. ISSN 0962-9505.
Yau, Jane Yin-Kim and Joy, Mike (2004) Adaptive learning and testing with learning objects. In: International Conference on Computers in Education (ICCE 2004), Melbourne, Australia, 30 Nov - 3 Dec 2004 pp. 1861-1865.
Yau, Jane Yin-Kim and Joy, Mike (2006) Application of learning styles for effective mobile learning. In: Mobile Learning 2006, Dublin, Eire, 16-21 Jul 2006
Yau, Jane Yin-Kim and Joy, Mike (2007) Architecture of a context-aware and adaptive learning schedule for learning Java. In: 7th IEEE International Conference on Advanced Learning Technologies, Niigata, Japan, 18-20 Jul 2007. Published in: Seventh IEEE International Conference on Advanced Learning Technologies, 2007. ICALT 2007. pp. 252-256. ISBN 9780769529165. doi:10.1109/ICALT.2007.72
Yau, Jane Yin-Kim and Joy, Mike (2006) Context-aware and adaptive learning schedule for mobile learning. In: International Workshop on Mobile and Ubiquitous Learning Environments (MULE) at the International Conference on Computers in Education (ICCE 2006), Beijing, China, 30 Nov - 4 Dec 2006 pp. 17-24.
Yau, Jane Yin-Kim and Joy, Mike (2010) Designing and evaluating the mobile context-aware learning schedule framework : challenges and lessons learnt. In: IADIS International Conference Mobile Learning 2010, Porto, Portugal, 19 - 21 March 2010. Published in: Proceedings of the IADIS International Conference on Mobile Learning pp. 85-92. ISBN 9789728924997.
Yau, Jane Yin-Kim and Joy, Mike (2004) Introducing Java : the case for fundamentals-first. In: International Conference on Education and Information Systems - Technologies and Application, Orlando, FL, 21-25 Jul 2004. Published in: International Conference on Education and Information Systems, Technologies and Applications (EISTA 2004), Volume 2 pp. 229-234.
Yau, Jane Yin-Kim and Joy, Mike (2010) Proposal of a mobile learning preferences model. In: 5th Interactive Mobile and Computer Aided Learning Conference (IMCL2010), Hasselt, Belgium, 16-17 Sept 2010. Published in: Proceedings of the ICL2010 pp. 753-754. ISBN 9783899585414. doi:10.3991/ijim.v4i4.1445
Yau, Jane Yin-Kim and Joy, Mike (2007) A context-aware and adaptive learning schedule framework for supporting learners' daily routines. In: Second International Workshop on Mobile Communications and Learning (MCL 2007), Sainte-Luce, Martinique, 22-28 Apr 2007. Published in: Second International Conference on Systems, 2007. ICONS '07. ISBN 0769528074. doi:10.1109/ICONS.2007.3
Yau, Jane Yin-Kim and Joy, Mike (2010) A context-aware personalized m-learning application based on m-learning preferences. In: 6th IEEE International Conference on Wireless, Mobile, and Ubiquitous Technologies in Education (WMUTE 2010), Kaohsiung, Taiwan, 12-16 Apr 2010. Published in: IEEE International Conference on Wireless, Mobile and Ubiquitous Technologies in Education (WMUTE) pp. 11-18. ISBN 9780769539928. doi:10.1109/WMUTE.2010.15
Yau, Jane Yin-Kim and Joy, Mike (2009) A mobile and context-aware adaptive learning schedule framework from a usability perspective : a 'diary: diary-questionnaire' study. In: 17th International Conference on Computers in Education (ICCE 2009), Hong Kong, 30 Nov-4 Dec 2009. Published in: Proceedings of the 17th International Conference on Computers in Education pp. 512-519.
Yau, Jane Yin-Kim and Joy, Mike (2008) A self-regulated learning approach : a mobile context-aware and adaptive learning schedule (mCALS) tool. In: 3rd International Conference on Interactive Mobile and Computer Aided Learning (IMCL2008), Amman, Jordan, 16-18 Apr 2008
Ye, Jiayuan, Zhu, Zhenyu, Liu, Fanghui, Shokri, Reza and Cevher, Volkan (2023) Initialization matters : privacy-utility analysis of overparameterized neural networks. In: Thirty-seventh Conference on Neural Information Processing Systems, New Orleans, USA, 10-16 Dec 2023
Ye, Jilin, Badiyani, Saurin, Raja, Vinesh and Schlegel, Thomas (2007) Applications of virtual reality in product design evaluation. In: 12th International Conference on Human-Computer Interaction (HCI International 2007), Beijing, China, 22-27 Jul 2007. Published in: HCI'07 Proceedings of the 12th international conference on Human-computer interaction: applications and services, Volume 4553 (Part 4). pp. 1190-1199. ISBN 9783540731092. ISSN 0302-9743.
Yeo, Zhiquan, Choong Low, Jonathan Sze, Loong Tan, Daren Zong, Chung, Si Ying, Tjandra, Tobias Bestari and Ignatius, Joshua (2019) A collaboration platform for enabling industrial symbiosis : towards creating a self-learning waste-to-resource database for recommending industrial symbiosis transactions using text analytics. In: 26th CIRP Conference on Life Cycle Engineering, Purdue University, West Lafayette, IN, May 7-9 2019. Published in: Procedia CIRP, 80 pp. 643-648. doi:10.1016/j.procir.2019.01.015 ISSN 2212-8271.
Yilmaz, Emre, Elbasi, Sanem and Ferhatosmanoglu, Hakan (2017) Predicting optimal facility location without customer locations. In: 23rd ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Halifax, NS, Canada , 13-17 Aug 2017. Published in: KDD '17 Proceedings of the 23rd ACM SIGKDD International Conference on Knowledge Discovery and Data Mining pp. 2121-2130. ISBN 9781450348874. doi:10.1145/3097983.3098198
Yin, Xiangyu, Wu, Sihao, Liu, Jiaxu, Fang, Meng, Zhao, Xingyu, Huang, Xiaowei and Ruan, Wenjie (2023) ReRoGCRL : Representation-based Robustness in Goal-Conditioned Reinforcement Learning. In: The 38th Annual AAAI Conference on Artificial Intelligence (AAAI'24), Vancouver, Canada, 20-27 Feb 2024 . Published in: Proceedings of 38th Annual AAAI Conference on Artificial Intelligence (AAAI'24) (In Press)
You, Shih-Chun, Kuhn, Markus G., Sarkar, Sumanta and Hao, Feng (2023) Low trace-count template attacks on 32-bit implementations of ASCON AEAD. In: CHES 2023, Prague, Czech Republic, 10-14 Sep 2023. Published in: IACR Transactions on Cryptographic Hardware and Embedded Systems (CHES), 2023 (4). pp. 344-366. doi:10.46586/tches.v2023.i4.344-366
Yu, Andy C., Park, Heechan and Martin, Graham R. (2006) Fast mesh-based motion estimation employing an embedded block model. In: IEEE International Symposium on Circuits and Systems, Kos Isl, Greece, 21-24 May 2006. Published in: 2006 IEEE International Symposium on Circuits and Systems Proceedings, Volume 1-11 pp. 4703-4706. ISBN 9780780393899. ISSN 0277-674X.
Yu, Weiren, Iranmanesh, Sima, Haldar, Aparajita, Zhang, Maoyin and Ferhatosmanoglu, Hakan (2020) An axiomatic role similarity measure based on graph topology. In: SFDI 2020 : LSGDA 2020. Published in: Software Foundations for Data Interoperability and Large Scale Graph Data Analytics, 1281 pp. 33-48. ISBN 9783030611323. doi:10.1007/978-3-030-61133-0_3 ISSN 1865-0929.
Yu, Weiren, Lin, Xuemin and Zhang, Wenjie (2014) Fast incremental SimRank on link-evolving graphs. In: 2014 IEEE 30th International Conference on Data Engineering, Chicago, IL, USA, 31 Mar- Apr 2014. Published in: 2014 IEEE 30th International Conference on Data Engineering pp. 304-315. doi:10.1109/ICDE.2014.6816660 ISSN 1063-6382.
Yu, Weiren and McCann, Julie (2015) Co-Simmate : quick retrieving all pairwise co-Simrank scores. In: 53rd Annual Meeting of the Association for Computational Linguistics and the 7th International Joint Conference on Natural Language Processing , Beijing, China, 26-31 Jul 2015. Published in: Proceedings of the 53rd Annual Meeting of the Association for Computational Linguistics and the 7th International Joint Conference on Natural Language Processing , 2 pp. 327-333. ISBN 9781941643730. doi:10.3115/v1/P15-2054
Yu, Weiren and McCann, Julie (2015) Gauging correct relative rankings for similarity search. In: CIKM '15: Proceedings of the 24th ACM International on Conference on Information and Knowledge, Melbourne , 19-23 Oct 2015. Published in: CIKM '15: Proceedings of the 24th ACM International on Conference on Information and Knowledge Management pp. 1791-1794. ISBN 9781450337946. doi:10.1145/2806416.2806610
Yu, Weiren and McCann, Julie A. (2014) Sig-SR : SimRank search over singular graphs. In: SIGIR '14, Queensland Australia. Published in: SIGIR '14: Proceedings of the 37th international ACM SIGIR conference on Research & development in information retrieval pp. 859-862. ISBN 9781450322577. doi:10.1145/2600428.2609459
Yu, Weiren and McCann, Julie Ann (2015) High quality graph-based similarity search. In: SIGIR '15. Published in: SIGIR '15: Proceedings of the 38th International ACM SIGIR Conference on Research and Development in Information Retrieval pp. 83-92. doi:10.1145/2766462.2767720
Yu, Xiangyu, Tan, Huabin, Liang, Hui, Li, Chang-Tsun and Liao, Guangjun (2019) A multi-task learning CNN for image steganalysis. In: 2018 IEEE International Workshop on Information Forensics and Security (WIFS), Hong Kong, 11-13 Dec 2018 ISBN 9781538665367. doi:10.1109/WIFS.2018.8630766 ISSN 2157-4774.
Yuan, Hu, Bradbury, Matthew S., Maple, Carsten and Gu, Chen (2019) Throughput aware authentication prioritisation for vehicular communication networks. In: 2019 IEEE 90th Vehicular Technology Conference : VTC2019-Fall , Honolulu, Hawaii, 22–25 Sep 2019. Published in: 2019 IEEE 90th Vehicular Technology Conference (VTC2019-Fall) pp. 1-5. ISBN 9781728112206. doi:10.1109/VTCFall.2019.8891375 ISSN 2577-2465.
Yuan, Hu, Maple, Carsten and Ghirardello, Kevin (2019) Dynamic route selection for vehicular store-carry-forward networks and misbehaviour vehicles analysis. In: 2018 IEEE 88th Vehicular Technology Conference: VTC2018-Fall, Chicago, USA, 27–30 Aug 2018. Published in: 2018 IEEE 88th Vehicular Technology Conference (VTC-Fall) doi:10.1109/VTCFall.2018.8690703 ISSN 2577-2465.
Yuan, Yinyin and Li, Chang-Tsun (2007) Unsupervised clustering of gene expression time series with conditional random fields. In: IEEE International Conference on Digital Ecosystems and Technologies, Cairns, Australia, 21-23 Feb 2007. Published in: 2007 Inaugural IEEE-IES. Digital EcoSystems and Technologies Conference, pp. 59-64. ISBN 1424404673. doi:10.1109/DEST.2007.372040
Zeng, Jichuan, Li, Jing, He, Yulan, Gao, Cuiyun, Lyu, Michael and King, Irwin (2020) What changed your mind : the roles of dynamic topics and discourse in argumentation process. In: The Web Conference 2020, Taipei, 20-24 Apr 2020 . Published in: WWW '20: Proceedings of The Web Conference 2020 pp. 1502-1513. ISBN 9781450370233. doi:10.1145/3366423.3380223
Zeng, Yu, Green, Roger and Leeson, Mark S. (2008) Multiple pulse amplitude and position modulation for the optical wireless channel. In: 10th International Conference on Transparent Optical Networks, Athens, Greece, Jun 22-26, 2008. Published in: Proceedings of 2008 10th International Conference on Transparent Optical Networks, Vol.4 pp. 193-196. ISBN 978-1-4244-2625-6 . doi:10.1109/ICTON.2008.4598766 ISSN .
Zennaro, Fabio Massimo, Drávucz, Máté, Apachitei, Geanina, Widanage, W. Dhammika and Damoulas, Theodoros (2023) Jointly learning consistent causal abstractions over multiple interventional distributions. In: CLeaR (Causal Learning and Reasoning) 2023, Tübingen, Germany, 11-14 Apr 2023. Published in: Proceedings of CLeaR (Causal Learning and Reasoning) 2023 (In Press)
Zhang, Jun, Cormode, Graham, Procopiuc, Cecilia, Srivastava, Divesh and Xiao, Xiaokui (2015) Private release of graph statistics using ladder functions. In: ACM SIGMOD 2015, Melbourne, Australia, 1-4 Jun 2015. Published in: SIGMOD '15 Proceedings of the 2015 ACM SIGMOD International Conference on Management of Data pp. 731-745. ISBN 9781450327589. doi:10.1145/2723372.2737785
Zhang, Jun, Cormode, Graham, Procopiuc, Cecilia, Srivastava, Divesh and Xiao, Xiaokui (2014) Privbayes : private data release via Bayesian networks. In: ACM SIGMOD Conference, Salt Lake City, Utah, 22-27 Jun 2014. Published in: Proceedings of the 2014 ACM SIGMOD international conference on Management of data pp. 1423-1434. ISBN 9781450323765. doi:10.1145/2588555.2588573
Zhang, Linhai, Zhou, Deyu, Lin, Chao and He, Yulan (2021) A multi-label multi-hop relation detection model based on relation-aware sequence generation. In: 2021 Conference on Empirical Methods in Natural Language Processing, Punta Cana, Dominican Republic, 7-11 Nov 2021. Published in: Findings of the Association for Computational Linguistics: EMNLP 2021 pp. 4713-4719. doi:10.18653/v1/2021.findings-emnlp.404
Zhang, Qiang and Bhalerao, Abhir (2016) Loglet SIFT for part description in deformable part models : application to face alignment. In: British Machine Vision Conference (BMVC 2016), York, UK, 19-22 Sep 2016. Published in: Proceedings of the British Machine Vision Conference (BMVC) 31.1-31.12. ISBN 1901725596. doi:10.5244/C.30.31
Zhang, Qiang, Bhalerao, Abhir, Helm, Emma and Hutchinson, Charles E. (2015) Active shape model unleashed with multi-scale local appearance. In: IEEE International Conference on Image Processing (ICIP), Quebec City, Canada, 27-30 Sep 2015. Published in: Proceedings of IEEE International Conference on Image Processing (ICIP) pp. 4664-4668. doi:10.1109/ICIP.2015.7351691
Zhang, Wenjian, Gui, Lin and He, Yulan (2021) Supervised contrastive learning for multimodal unreliable news detection in COVID-19 pandemic. In: 30th ACM International Conference on Information and Knowledge Management, Virtual conference, 01-05 Nov 2021. Published in: CIKM '21: Proceedings of the 30th ACM International Conference on Information & Knowledge Management pp. 3637-3641. doi:10.1145/3459637.3482196
Zhang, X. -P., Xue, C. -F. and Godfrey, K. R. (2007) Application of NMI to the design of FACTS damping control with multiple operating points. In: 2007 IEEE International Conference on System of Systems Engineering (SoSE), San Antonio, Texas, 16-18 Apr 2007. Published in: 2007 IEEE INTERNATIONAL CONFERENCE ON SYSTEM OF SYSTEMS ENGINEERING, VOLS 1 AND 2 pp. 539-544. ISBN 9781424411597. doi:10.1109/SYSOSE.2007.4304310
Zhang, Y., Tirthapura, S. and Cormode, Graham (2018) Learning graphical models from a distributed stream. In: International Conference on Data Engineering (ICDE), 2018, Paris, France, 16–19 Apr 2018
Zhang, Yu, Liao, Xiaofei, Jin, Hai, Gu, Lin, Liu, Haikun, He, Bingsheng and He, Ligang (2018) CGraph : a correlations-aware approach for efficient concurrent iterative graph processing. In: 2018 USENIX Annual Technical Conference (USENIX ATC 18), Boston, MA, 11–13 Jul 2018. Published in: USENIX ATC '18: Proceedings of the 2018 USENIX Conference on Usenix Annual Technical Conference pp. 441-452. ISBN 9781931971447.
Zhao, Jin, Zhang, Yu, Liao, Xiaofei, He, Ligang, He, Bingsheng, Jin, Hai, Liu, Haikun and Chen, Yicheng (2019) GraphM : an efficient storage system for high throughput of concurrent graph processing. In: SC '19 International Conference for High Performance Computing, Networking, Storage and Analysis, Denver, Colorado, 17-19 Nov 2019. Published in: SC '19 Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis pp. 1-14. ISBN 9781450362290. doi:10.1145/3295500.3356143
Zhao, Lei, Jarvis, Stephen A., Spooner, Daniel P. and Nudd, G. R. (2005) On predictive performance modelling of parallel component composition. In: Proceedings of the Workshop on Performance Modelling, Evaluation and Optimization of Parallel and Distributed Systems (PMEO 2005), Denver, Colorado, USA, 4-8 April, 2005
Zhao, Runcong, Gui, Lin, Pergola, Gabriele and He, Yulan (2021) Adversarial learning of poisson factorisation model for gauging brand sentiment in user reviews. In: EACL 2021 : The 16th Conference of the European Chapter of the Association for Computational Linguistics, Virtual conference, 19-23 Apr 2021. Published in: Proceedings of the 16th Conference of the European Chapter of the Association for Computational Linguistics : Main Volume pp. 2341-2351.
Zhao, Xin Wayne, Guo, Yanwei, He, Yulan, Jiang, Han, Wu, Yuexin and Li, Xiaoming (2014) We know what you want to buy : a demographic-based system for product recommendation on microblogs. In: 20th ACM SIGKDD international conference on Knowledge discovery and data mining, New York, USA, 24-27 Aug 2014. Published in: KDD '14 Proceedings of the 20th ACM SIGKDD international conference on Knowledge discovery and data mining pp. 1935-1944. ISBN 9781450329569. doi:10.1145/2623330.2623351
Zhen, Yao and Rajpoot, Nasir M. (Nasir Mahmood) (2005) Image denoising using multiscale directional cosine bases. In: IEEE International Conference on Image Processing (ICIP 2005), Genoa, Italy, 11-14 Sep 2005. Published in: IEEE International Conference on Image Processing, 2005. ICIP 2005., Volume 3 pp. 313-316. ISBN 0780391349.
Zhou, Deyu, Chen, Liangyu and He, Yulan (2015) An unsupervised framework of exploring events on Twitter : filtering, extraction and categorization. In: Twenty-Ninth AAAI Conference on Artificial Intelligence (AAAI), Austin, 25-29 Jan 2015. Published in: Proceedings of Twenty-Ninth AAAI Conference on Artificial Intelligence (AAAI) pp. 2468-2474. doi:10.5555/2886521.2886664
Zhou, Wei, Raja, Vinesh and Meinel, Christoph (2005) An authentication and authorization system for virtual organizations. In: 9th World Multi-Conference on Systemics, Cybernetics and Informatics, Orlando, FL, JUL 10-13, 2005. Published in: WMSCI 2005: 9th World Multi-Conference on Systemics, Cybernetics and Informatics, Vol 7 pp. 150-155. ISBN 978-980-6560-59-8.
Zhou, Wei, Raja, Vinesh, Meinel, Christoph and Ahmad, Munir (2006) Label-based access control policy enforcement and management. In: 7th ACIS International Conference on Software Engineering, Artificial Intelligence, Networking, and Parallel and Distributed Computing/7th ACIS International Workshop on Self-Assembling Networks, Las Vegas, NV, 19-20 Jun 2006. Published in: SNPD 2006: Seventh ACIS International Conference on Software Engineering Artificial Intelligence, Networking, and Parallel/Distributed Computing, Proceedings pp. 395-400. ISBN 0-7695-2611-X. doi:10.1109/SNPD-SAWN.2006.45
Zhou, Yiwei, Demidova, Elena and Cristea, Alexandra I. (2016) Who likes me more? Analysing entity-centric language-specific bias in multilingual Wikipedia. In: SAC 2016, Pisa, Italy, 4-8 Apr 2016. Published in: Proceedings of the 2016 ACM Symposium on Applied Computing : Artificial Intelligence and Agents, Distributed Systems, and Information Systems , 1 pp. 750-757. ISBN 9781450337397 . doi:10.1145/2851613.2851858
Zhou, Yiwei, Kanhabua, N. and Cristea, Alexandra I. (2016) Real-time timeline summarisation for high-impact events in Twitter. In: ECAI 2016, The Hague, The Netherlands, 29 Aug - 2 Sep 2016. Published in: Proceedings of the 22nd European Conference on Artificial Intelligence, 285 pp. 1158-1166. ISBN 9781614996712.
Zhu, Jian-Qiao, Sanborn, Adam N., Chater, Nick and Griffiths, Tom (2023) Computation-limited Bayesian updating. In: 45th Annual Conference of the Cognitive Science Society, Sydney, Australia, 26 – 29 Jul 2023. Published in: Proceedings of the 45th Annual Conference of the Cognitive Science Society, 45 pp. 2057-2064. ISSN 1069-7977.
Zhu, Lixing, He, Yulan and Deyu, Zhou (2020) Neural temporal opinion modelling for opinion prediction on Twitter. In: Annual Meeting of the Association for Computational Linguistics (2020), Online, 6–8 Jul 2020. Published in: Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics pp. 3804-3810.
Zhu, Lixing, Pergola, Gabriele, Gui, Lin, Zhou, Deyu and He, Yulan (2021) Topic-driven and knowledge-aware transformer for dialogue emotion detection. In: ACL 2021, Online, 2–4 Aug 2021. Published in: Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language pp. 1571-1582.
Zou, Deqing, He, Ligang, Jin, Hai and Chen, Xueguang (2009) CRBAC: imposing multi-grained constraints on the RBAC model in the multi-application environment. In: IFIP International Workshop on Network and System Security, Dalian, People's Republic of China, September 18-19, 2007. Published in: Journal of Network and Computer Application, Vol.32 (No.2). pp. 402-411. doi:10.1016/j.jnca.2008.02.015 ISSN 1084-8045.
Łącki, Jakub, Oćwieja, Jakub, Pilipczuk, Marcin, Sankowski, Piotr and Zych, Anna (2015) The power of dynamic distance oracles : efficient dynamic algorithms for the Steiner tree. In: STOC '15 Forty-Seventh Annual ACM on Symposium on Theory of Computing, Portland, OR, 14-17 Jun 2015. Published in: STOC '15 Proceedings of the Forty-Seventh Annual ACM on Symposium on Theory of Computing pp. 11-20. ISBN 9781450335362. doi:10.1145/2746539.2746615
Working or Discussion Paper
HAT Project Research Team. (2016) HAT Briefing Paper 4 : HAT personal data exchange ecosystem - technology architecture briefing. Working Paper. Coventry: Warwick Manufacturing Group. WMG Service Systems Research Group Working Paper Series (01/16). (Unpublished)
HAT Project Research Team. (2016) HAT Briefing Paper 5 : Rolling out HATs and creating the market for personal data - the HAT foundation. Working Paper. Coventry: Warwick Manufacturing Group. WMG Service Systems Research Group Working Paper Series (02/16). (Unpublished)
HAT Project Research Team. (2016) HAT Briefing Paper 6 : Personal data exchange ecosystem : code of practice release 1. Working Paper. Coventry: Warwick Manufacturing Group. WMG Service Systems Research Group Working Paper Series (03/16). (Unpublished)
Bloch, Francis, Dutta, Bhaskar and Dziubinski, Marcin (2019) A game of hide and seek in networks. Working Paper. Coventry: Department of Economics, University of Warwick. Warwick economics research papers series (WERPS), 2019 (1226). (Unpublished)
Brettschneider, Julia, Thornby, John Albert, Nichols, Thomas E. and Kendall, W. S. (2014) Spatial analysis of dead pixels. Working Paper. Coventry, UK: University of Warwick. Centre for Research in Statistical Methodology. CRiSM Working Paper Series (Number 14-24). (Unpublished)
Cañon, Carlos and Ng, Irene C. L. (2021) A bottleneck for open banking. Working Paper. Coventry: WMG; University of Warwick. WMG Service Systems Research Group Working Paper Series (02/21).
Dhillon, Amrita , Peeters, Ronald and Yüksel, Ayşe Müge (2014) Overcoming moral hazard with social networks in the workplace : an experimental approach. Working Paper. Coventry, UK: Department of Economics, University of Warwick. CAGE Online Working Paper Series, Volume 2014 (Number 183).
Ede, Jeffrey M. (2020) Adaptive partial scanning transmission electron microscopy with reinforcement learning. Working Paper. Cornell University: arXiv. (Submitted)
Ede, Jeffrey M. (2020) Review : Deep learning in electron microscopy. Working Paper. Cornell University: arXiv. (Unpublished)
Gottardi, Piero and Mezzetti, Claudio (2020) Mediation design. Working Paper. Coventry: University of Warwick. Department of Economics. Warwick economics research papers series (WERPS) (1248). (Unpublished)
Green, Maxwell, Davies, Philip and Ng, Irene C. L. (2016) Two strands of servitization : a thematic analysis of traditional and customer co-created servitization and future research directions. Working Paper. Coventry: Warwick Manufacturing Group. WMG Service Systems Research Group Working Paper Series (04/16). (Unpublished)
Hämäläinen, Wilhelmiina, Joy, Mike, Berger, Florian and Huttunen, Sami (2018) Clustering students' open-ended questionnaire answers. Working Paper. University of Warwick, Department of Computer Science. (Unpublished)
Kremer, Ilan, Mansour, Yishay and Perry, Motty (2013) Implementing the "Wisdom of the Crowd". Working Paper. Coventry, UK: University of Warwick, Department of Economics. Warwick economics research papers series (TWERPS), Volume 2013 (Number 1024).
Ma, Xiao, Bal, Jay and Issa, Ahmad (2014) Using ontology engineering for understanding needs and allocating resources in web-based industrial virtual collaboration systems. Working Paper. Coventry: Warwick Manufacturing Group. WMG Service Systems Research Group Working Paper Series (Number 01/14).
Ng, Irene C. L., Badinelli, Ralph, Polese, Francesco , Di Nauta, Primiano , Löbler, Helge and Halliday, Sue (Professor of marketing) (2012) S-D logic research directions and opportunities : the perspective of systems, complexity and engineering. Working Paper. Coventry: Warwick Manufacturing Group. WMG Service Systems Research Group Working Paper Series (Number 11/12).
O'Toole, R. B. (Robert Bernard) (2013) Flipping the classroom : a design study of the adoption and adaption of new pedagogy in a higher education context. Working Paper. University of Warwick, Coventry: University of Warwick. (Unpublished)
O'Toole, R. B. (Robert Bernard) (2013) Innovation and design change strategies for learning technologies at Warwick : towards a ‘design capabilities’ heuristic for guiding practice and evaluating change. Working Paper. University of Warwick, Coventry, UK: University of Warwick. (Unpublished)
University of Warwick, Academic Technology Service. (2013) Pedagogical strategies and technologies for peer assessment in Massively Open Online Courses (MOOCs). Discussion Paper. University of Warwick, Coventry, UK: University of Warwick. (Unpublished)
Academic Technology Service, ITS, University of Warwick. (2013) A report on e-portfolios : design features, uses, benefits, examples & emerging trends. Working Paper. University of Warwick, Coventry, UK: University of Warwick. (Unpublished)
Prangnell, Lee and Sanchez Silva, Victor (2016) Color-based coding unit level adaptive quantization for HEVC. Working Paper. Coventry: University of Warwick. Mathematics Institute. (Unpublished)
Prangnell, Lee and Sanchez Silva, Victor (2019) Frequency-dependent perceptual quantisation for visually lossless compression applications. Working Paper. arXiv.
Prangnell, Lee and Sanchez Silva, Victor (2020) JNCD-based perceptual compression of RGB 4:4:4 image data. Working Paper. arXiv.
Prangnell, Lee and Sanchez Silva, Victor (2016) Minimizing compression artifacts for high resolutions with adaptive quantization matrices for HEVC. Working Paper. [Coventry]: [University of Warwick. Mathematics Institute]. (Unpublished)
Prangnell, Lee and Sanchez Silva, Victor (2020) Spatiotemporal adaptive quantization for the perceptual video coding of RGB 4:4:4 data. Working Paper. arXiv.
Prangnell, Lee and Sanchez Silva, Victor (2022) Spectral-PQ : a novel spectral sensitivity-orientated perceptual compression technique for RGB 4:4:4 video data. Working Paper. arXiv. (Submitted)
Varin, Cristiano, Cattelan, Manuela and Firth, David (2013) Statistical modelling of citation exchange among statistics journals. Working Paper. Coventry: University of Warwick : Centre for Research in Statistical Methodology (CRiSM). CRiSM Research Reports (Number 13-19). (Unpublished)
Book
Griffiths, Nathan and Chao, Kuo-Ming, eds. (2010) Agent-based service-oriented computing. Advanced information and knowledge processing . London: Springer. ISBN 9781849960403
Harrison, M. D. (Michael D.) and Sujan, Mark-Alexander , eds. (2008) Computer safety, reliability, and security: 27th International Conference, SAFECOMP 2008 Newcastle upon Tyne, UK, September 22-25, 2008 Proceedings. Lecture notes in computer science, 5219 ISSN: 0302-9743 . Berlin: Springer-Verlag. ISBN 9783540876984
Jarvis, Stephen A., 1970- and Wright, Steven A. and Hammond, Simon D., eds. (2014) High performance computing systems. Performance modeling, benchmarking and simulation : 4th International Workshop, PMBS 2013, Denver, CO, USA, November 18, 2013. Revised Selected Papers. Lecture Notes in Computer Science, Volume 8551 . Berlin: Springer. ISBN 9783319102139
Jarvis, Stephen A., 1970- and Wright, Steven A. and Hammond, Simon D., eds. (2015) High performance computing systems. Performance modeling, benchmarking, and simulation : 5th International Workshop, PMBS 2014, New Orleans, LA, USA, November 16, 2014. Revised Selected Papers. Lecture Notes in Computer Science, Volume 8966 . Berlin: Springer. ISBN 9783319172477
Jarvis, S. A., ed. (2003) Performance Engineering - Proceedings of the 19th Annual UK Performance Engineering Workshop (UKPEW'2003). Coventry, UK: Warick Print. ISBN 0954100026
Elson, D. and Rajpoot, Nasir M. (Nasir Mahmood), eds. (2009) Proceedings MICCAI 2009 Workshop on Optical Tissue Microscopy, Histology, and Endoscopy (OPTIMHisE). MICCAI Conference. ISBN 9780956377609
Rajpoot, Nasir M. (Nasir Mahmood) and Bhalerao, Abhir, eds. (2007) Proceedings of British machine vision conference 2007. Warwick Print. ISBN 0902683815
Bhalerao, Abhir and Rajpoot, Nasir M. (Nasir Mahmood), eds. (2010) Proceedings of Medical Image Understanding and Analysis 2010. Coventry: Warwick Print. ISBN 9780956615008
Hammond, Simon D. and Jarvis, Stephen A., 1970- and Leeke, Matthew, eds. (2010) Proceedings of the 26th UK Performance Engineering Workshop (UKPEW 2010). UK Performance Engineering Workshop . Coventry, UK: Performance Computing and Visualisation, Department of Computer Science, University of Warwick. ISBN 9780955970320
Minghini, Marco and Coetzee, Serena and Juhász, Levente and Yeboah, Godwin and Mooney, Peter and Grinberger, A. Yair, eds. (2020) Proceedings of the Academic Track at State of the Map 2020. https://zenodo.org/communities/sotm-2020: Editors.
Van Montford , Joek and Low, M. J. and Breen , Derek and Amond, Mags and Nunes-Smith, Genevieve, eds. (2017) Scratch Tales - Celebrating 10 years of Scratch. Amsterdam: Stichting Scratchweb. ISBN 978154832958
Lim Choi Keung, Sarah Niukyun, ed. (2016) West Midlands Health Informatics Network (WIN) 2016 Conference e-Proceedings. West Midlands Health Informatics Network.
Paliwala, Abdul, ed. (2010) A history of legal informatics. LEFIS series (9). Zaragoza : Prensas Universitarias de Zaragoza. ISBN 9788492774883
Kulkarni, Vishwesh V. and Stan, Guy-Bart and Raman, Karthik, eds. (2014) A systems theoretic approach to systems and synthetic biology II : analysis and design of cellular systems. London, UK: Springer Verlag. ISBN 9789401790475
Bessa, M., Chalmers, Alan and Bulas Cruz, J. (2009) Selective rendering for 3D maps : high-fidelity graphics on mobile devices. Saarbrücken: VDM Verlag. ISBN 9783639216387
Debattista, Kurt (2010) 2nd international IEEE conference in games and virtual worlds for serious applications. Conference in Games and Virtual Worlds for Serious Applications . Washington D.C., U.S.A.: IEEE Computer Society. ISBN 9781424463312
Debattista, Kurt (2009) Eurographics symposium on parallel graphics and visualization, EGPGV 2009. Aire-la-Ville, Switzerland: European Association for Computer Graphics (EuroGraphics). ISBN 978-3-905674-15-6
Debattista, Kurt (2010) Eurographics symposium on parallel graphics and visualization, EGPGV 2010. Aire-la-Ville, Switzerland: European Association for Computer Graphics (EuroGraphics). ISBN 978-3-905674-21-7
Debattista, Kurt (2009) VAST 2009: The 10th international symposium on virtual reality, archaeology and intelligent cultural heritage, short & project papers. Valetta, Malta: University of Malta.
Elias, Peter, Halstead , Keith and Prandy, Kenneth (1993) Computer Assisted Standard Occupational Coding. Standard occupational classification . London : H.M.S.O. ISBN 0116913592
Joy, Mike (1994) Beginning UNIX. Tutorial Guides in Computing and Information Systems, Volume 1 . London: Chapman and Hall. ISBN 0412576600
Joy, Mike (1997) Beginning UNIX. Tutorial Guides in Computing and Information Systems (2nd Edition). London: International Thomson Computer Press. ISBN 1850322635
Joy, Mike, Jarvis, Stephen A. and Luck, Michael (2002) Introducing UNIX and Linux. Grassroots . London: Palgrave. ISBN 9780333987636
Li, Chang-Tsun (2010) Handbook of research on computational forensics, digital crime, and investigation : methods and solutions. Hershey: Information Science Reference. ISBN 9781605668369
Li, Chang-Tsun (2008) Multimedia forensics and security. Hershey, USA : IGI Global. ISBN 9781599048697
Journal Item
UNSPECIFIED (1998) Agent systems and applications. KNOWLEDGE ENGINEERING REVIEW, 13 (3). pp. 303-308. ISSN 0269-8889
UNSPECIFIED (1996) Analysis of convolutional encoders and synthesis of rate-2/n Viterbi decoders. IEEE TRANSACTIONS ON INFORMATION THEORY, 42 (4). pp. 1280-1285. ISSN 0018-9448
UNSPECIFIED (1998) Binary Huffman equivalent codes with a short synchronizing codeword. IEEE TRANSACTIONS ON INFORMATION THEORY, 44 (1). pp. 346-351. ISSN 0018-9448
UNSPECIFIED (1988) COMPARING CONCEPTUAL MODELS AND DATA FLOW DIAGRAMS. COMPUTER JOURNAL, 31 (4). pp. 376-379. ISSN 0010-4620
UNSPECIFIED (1995) COMPUTATIONAL SIMILARITY (REPRINTED FROM CONCURRENCY PRACTICE AND EXPERIENCE VOL 7, PG 147-166, 1995). SUPERCOMPUTER, 11 (4). pp. 102-123. ISSN 0168-7875
UNSPECIFIED (1992) COMPUTING AT THE UNIVERSITY-OF-CAMBRIDGE - INTRODUCTION. IEEE ANNALS OF THE HISTORY OF COMPUTING, 14 (4). pp. 8-9. ISSN 1058-6180
UNSPECIFIED (1994) CURVE EXTRACTION IN IMAGES USING A MULTIRESOLUTION FRAMEWORK. CVGIP-IMAGE UNDERSTANDING, 59 (3). pp. 359-366. ISSN 1049-9660
UNSPECIFIED (1999) Case 5,656: L.J. Comrie and the origins of the Scientific Computing Service Ltd. IEEE ANNALS OF THE HISTORY OF COMPUTING, 21 (4). pp. 70-71. ISSN 1058-6180
UNSPECIFIED (2000) Charles Babbage eulogy. IEEE ANNALS OF THE HISTORY OF COMPUTING, 22 (4). pp. 20-21. ISSN 1058-6180
UNSPECIFIED (1993) DOCTORAL INFORMATION-SYSTEMS RESEARCH IN BRITAIN - A REPORT ON THE UK INFORMATION-SYSTEMS DOCTORAL CONSORTIUMS, 1991-1993. JOURNAL OF INFORMATION TECHNOLOGY, 8 (2). pp. 118-120. ISSN 0268-3962
UNSPECIFIED (1989) FORMAL DESCRIPTION OF REALTIME SYSTEMS - A REVIEW. INFORMATION AND SOFTWARE TECHNOLOGY, 31 (2). pp. 67-76. ISSN 0950-5849
UNSPECIFIED (1997) Formalisms for multi-agent systems. KNOWLEDGE ENGINEERING REVIEW, 12 (3). pp. 315-321. ISSN 0269-8889
UNSPECIFIED (1998) Foundations of multi-agent systems: Techniques, tools and theory. KNOWLEDGE ENGINEERING REVIEW, 13 (3). pp. 297-302. ISSN 0269-8889
UNSPECIFIED (1997) Foundations of multi-agent systems: issues and directions. KNOWLEDGE ENGINEERING REVIEW, 12 (3). pp. 307-308. ISSN 0269-8889
UNSPECIFIED (1999) From definition to deployment: What next for agent-based systems? KNOWLEDGE ENGINEERING REVIEW, 14 (2). pp. 119-124. ISSN 0269-8889
UNSPECIFIED (1995) GENDER AND DSS DESIGN - THE RESEARCH IMPLICATIONS. DECISION SUPPORT SYSTEMS, 14 (1). pp. 27-58. ISSN 0167-9236
UNSPECIFIED (2000) Guest editorial: Intelligent virtual environments. APPLIED ARTIFICIAL INTELLIGENCE, 14 (1). pp. 1-2. ISSN 0883-9514
UNSPECIFIED (1993) HOPL-II CONFERENCE REPORT. IEEE ANNALS OF THE HISTORY OF COMPUTING, 15 (4). pp. 76-77. ISSN 1058-6180
UNSPECIFIED (1988) INFORMATION-SYSTEMS DEVELOPMENT - A TOOL KIT IS NOT ENOUGH. COMPUTER JOURNAL, 31 (4). pp. 379-380. ISSN 0010-4620
UNSPECIFIED (1990) INVESTIGATION INTO THE USE OF COLOR AND IMAGE-PROCESSING TECHNIQUES IN NUCLEAR-MEDICINE. MEDICAL & BIOLOGICAL ENGINEERING & COMPUTING, 28 (5). pp. 489-492. ISSN 0140-0118
UNSPECIFIED (1997) International information systems. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 6 (1). pp. 3-5. ISSN 0963-8687
UNSPECIFIED (2005) Introduction to the special issue on decision support systems. JOURNAL OF INFORMATION TECHNOLOGY, 20 (2). pp. 65-66. ISSN 0268-3962 doi:10.1057/palgrave.jit.2000040
UNSPECIFIED (1992) KERNEL DESIGNS FOR EFFICIENT MULTIRESOLUTION EDGE-DETECTION AND ORIENTATION ESTIMATION. IEEE TRANSACTIONS ON PATTERN ANALYSIS AND MACHINE INTELLIGENCE, 14 (3). pp. 384-390. ISSN 0162-8828
UNSPECIFIED (1989) A NOTE ON OPTIMAL PARALLEL TRANSFORMATIONS OF REGULAR EXPRESSIONS TO NONDETERMINISTIC FINITE AUTOMATA. INFORMATION PROCESSING LETTERS, 31 (2). pp. 103-109. ISSN 0020-0190
UNSPECIFIED (1991) A NUMERICAL ALGORITHM FOR HAMILTONIAN-SYSTEMS. JOURNAL OF COMPUTATIONAL PHYSICS, 97 (1). pp. 235-239. ISSN 0021-9991
UNSPECIFIED (1993) ON SOME IMPORTANT STATISTICAL PROBLEMS. STATISTICS AND COMPUTING, 3 (4). pp. 185-187. ISSN 0960-3174
UNSPECIFIED (1986) ON THE COMPLEXITY OF PARALLEL PARSING OF GENERAL CONTEXT-FREE LANGUAGES. THEORETICAL COMPUTER SCIENCE, 47 (3). pp. 315-321. ISSN 0304-3975
UNSPECIFIED (1986) ON THE DECIDABILITY OF SOME PROBLEMS ABOUT RATIONAL SUBSETS OF FREE PARTIALLY COMMUTATIVE MONOIDS. THEORETICAL COMPUTER SCIENCE, 48 (2-3). pp. 329-337. ISSN 0304-3975
UNSPECIFIED (1990) OPTIMALLY EDGE-COLORING OUTERPLANAR GRAPHS IS IN NC. THEORETICAL COMPUTER SCIENCE, 71 (3). pp. 401-411. ISSN 0304-3975
UNSPECIFIED (2003) The Pompey Project: Digital research and virtual reconstruction of Rome's first theatre. COMPUTERS AND THE HUMANITIES, 37 (1). pp. 129-139. ISSN 0010-4817
UNSPECIFIED (1993) SPECIAL ISSUE - SAFETY-CRITICAL SYSTEMS. MICROPROCESSORS AND MICROSYSTEMS, 17 (1). p. 2. ISSN 0141-9331
UNSPECIFIED (2002) Software preservation: Accumulation and simulation. IEEE ANNALS OF THE HISTORY OF COMPUTING, 24 (1). 96-+. ISSN 1058-6180
UNSPECIFIED (2004) Special issue - Simulation in operational research - Preface. SIMULATION MODELLING PRACTICE AND THEORY, 12 (7-8). pp. 475-477. ISSN 1569-190X doi:10.1016/j.simpat.2004.07.001
UNSPECIFIED (2001) Three-dimensional wavelet transform video coding using symmetric codebook vector quantization. IEEE TRANSACTIONS ON IMAGE PROCESSING, 10 (3). pp. 470-475. ISSN 1057-7149
UNSPECIFIED (1999) Towards the integration of e-business, knowledge management and policy considerations within an information systems strategy framework. JOURNAL OF STRATEGIC INFORMATION SYSTEMS, 8 (3). pp. 229-234. ISSN 0963-8687
UNSPECIFIED (1992) ULTRASOUND TOMOGRAPHY IMAGING OF DEFECTS USING NEURAL NETWORKS. NEURAL COMPUTATION, 4 (5). pp. 758-771. ISSN 0899-7667
UNSPECIFIED (1988) UNCERTAINTY AND INFERENCE IN THE VISUAL-SYSTEM. IEEE TRANSACTIONS ON SYSTEMS MAN AND CYBERNETICS, 18 (2). pp. 305-312. ISSN 0018-9472
UNSPECIFIED (2001) Untitled. JOURNAL OF INFORMATION TECHNOLOGY, 16 (4). pp. 193-194. ISSN 0268-3962 doi:10.1080/02683960110103118
UNSPECIFIED (1983) VOICE CONTROL OF AN INTERACTIVE SIMULATION. SIMULATION, 40 (1). pp. 28-29. ISSN 0037-5497
Anand, Sarabjot Singh and Mobasher, Bamshad (2007) Introduction to intelligent techniques for web personalization. ACM Transactions on Internet Technology, Volume 7 (Number 4). Article number 18 . ISSN 1533-5399 doi:10.1145/1278366.1278367
Benbya, Hind, Nan, Ning, Tanriverdi, Hüseyin and Yoo, Youngjin (2020) Complexity and information systems research in the emerging digital world. MIS Quarterly , 44 (1). pp. 1-17. ISSN 0276-7783 doi:10.25300/MISQ/2020/13304
Bundy, Alan, Chater, Nick and Muggleton, Stephen (2023) Editorial : Introduction to Special issue on Cognitive Artificial Intelligence. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 381 (2251). 20220051. ISSN 1364-503X doi:10.1098/rsta.2022.0051
Campbell-Kelly, Martin (2010) Be careful what you wish for. Communications of the ACM, Vol.53 (No.4). pp. 25-26. ISSN 0001-0782 doi:10.1145/1721654.1721666
Campbell-Kelly, Martin (2008) Historical Reflections Will the Future of Software be Open Source? Communications of the ACM, Vol.51 (No.10). pp. 21-23. ISSN 0001-0782 doi:10.1145/1400181.1400189
Campbell-Kelly, Martin (2009) Historical reflections computing in the depression era. Communications of the ACM, Vol.52 (No.10). pp. 21-22. ISSN 0001-0782 doi:10.1145/1562764.1562775
Campbell-Kelly, Martin (2010) Victorian data processing. Communications of the ACM, Vol.53 (No.10). pp. 19-21. ISSN 0001-0782 doi:10.1145/1831407.1831417
Campbell-Kelly, Martin (2009) The rise, fall, and resurrection of software as a service. Communications of the ACM, Vol.52 (No.5). pp. 28-30. ISSN 0001-0782 doi:10.1145/1506409.1506419
Chalmers, Alan, Mudge, Mark and Paulo Santos, Luis (2011) Special section on cultural heritage. Computers & Graphics, Vol.35 (No.4). v-vi. ISSN 0097-8493 doi:10.1016/j.cag.2011.03.041
Chater, Nick and Pickering, Martin (1997) Two projects for understanding the mind : A response to Morris and Richardson. Minds and Machines, 7 (4). pp. 553-569. ISSN 0924-6495 doi:10.1023/A:1008264330143
Creese, Sadie and Lamberts, Koen (2009) Can cognitive science help us make online risk more tangible? IEEE Intelligent Systems, Vol.24 (No.6). pp. 32-36. ISSN 1541-1672 doi:10.1109/MIS.2009.111
Cristea, Alexandra I. and Carro, Rosa (2008) Authoring of adaptive and adaptable hypermedia. Journal of Universal Computer Science, Volume 14 (Number 17). pp. 2756-2757. ISSN 0948-695X
Cristea, Alexandra I., Carro, Rosa and Stewart, Craig (2010) Advances in authoring of adaptive web-based systems. Journal of Universal Computer Science, Volume 16 (Number 19). pp. 2754-2755. ISSN 0948-695X
Debattista, Kurt, José Proença, Alberto and Paulo Santos, Luís (2010) Preface and biographic notes for the special issue on graphics for serious games. Computers & Graphics, Vol.34 (No.6). pp. 641-642. ISSN 0097-8493 doi:10.1016/j.cag.2010.09.016
Dyson, Robert G., Glover, Fred, Ijiri, Yuji, Whinston, Andrew and Sueyoshi, Toshiyuki (2010) New concepts, methodologies and algorithms for business education and research in the 21st century. Decision Support Systems, Vol.48 (No.3). pp. 427-429. ISSN 0167-9236 doi:10.1016/j.dss.2009.06.001
Gajarsky, Jakub and Králʼ, Daniel (2018) Recovering sparse graphs. Leibniz International Proceedings in Informatics (LIPIcs), 117 . 29:1-29:15. 29. ISSN 1868-8969 doi:10.4230/LIPIcs.MFCS.2018.29
Galliers, Robert (1995) Editorial. Journal of Strategic Information Systems, Volume 4 (Number 1). pp. 3-5. ISSN 0963-8687 doi:10.1016/0963-8687(95)80010-N
Galliers, Robert (1995) Editorial. Journal of Strategic Information Systems, Volume 4 (Number 4). pp. 315-317. ISSN 0963-8687 doi:10.1016/0963-8687(95)80001-7
Galliers, Robert (1995) Editorial. Journal of Strategic Information Systems, Volume 4 (Number 3). pp. 211-212. ISSN 0963-8687 doi:10.1016/0963-8687(95)90001-2
Galliers, Robert (1995) Editorial. Journal of Strategic Information Systems, Volume 4 (Number 2). pp. 115-116. ISSN 0963-8687 doi:10.1016/0963-8687(95)80019-M
Laleci Erturkmen, Gokce Banu, Yuksel, Mustafa, Sarigul, Bunyamin, Lindman, Pontus, Chen, Rong, Zhao, Lei, Bouaud, Jacques, Lilja, Mikael, de Manuel, Esteban, de Blas, Antonio, Marguerie, Christopher, Klein, Gunnar, Lim Choi Keung, Sarah Niukyun and Arvanitis, Theodoros N. (2018) Management of personalised guideline-driven care plans addressing the needs of multi-morbidity via clinical decision support services. International Journal of Integrated Care, 18 (S2). 132. ISSN 1568-4156 doi:10.5334/ijic.s2132
Merali, Yasmin and McKelvey, Bill (2006) Using complexity science to effect a paradigm shift in information systems for the 21st century - Introduction to the special issue. JOURNAL OF INFORMATION TECHNOLOGY, 21 (4). pp. 211-215. ISSN 0268-3962 doi:10.1057/palgrave.jit.2000082
Miltersen, Peter Bro (1995) On the cell probe complexity of polynomial evaluation. Theoretical Computer Science, Volume 143 (Number 1). pp. 167-174. ISSN 0304-3975 doi:10.1016/0304-3975(95)80032-5
Muggleton, Naomi K. (2024) Redefining harm : the role of data integration in understanding gambling behaviour. Addiction . ISSN 0965-2140 doi:10.1111/add.16461 (In Press)
Murawski, Andrzej S. and Tzevelekos, Nikos (2014) Game semantics for interface middleweight Java. ACM SIGPLAN Notices, Volume 49 (Number 1). pp. 517-529. ISSN 0362-1340 doi:10.1145/2535838.2535880
Nudd, G. R., Kerbyson, D. J., Papaefstathiou, E., Perry, S. C., Harper, J. S. and Wilcox, D. V. (2000) PACE - A toolset for the performance prediction of parallel and distributed systems. International Journal of High Performance Computing Applications, Volume 14 (Number 3). pp. 228-251. ISSN 1094-3420 doi:10.1177/109434200001400306
Peled, Doron and Tsay, Yih-Kuen (2007) Preface. International Journal of Foundations of Computer Science, Vol.18 (No.1). pp. 1-3. ISSN 0129-0541 doi:10.1142/S0129054107004541
Ravindran, Somasundaram, Gibbons, Alan (Alan M.) and Paterson, Michael S. (2000) Dense edge-disjoint embedding of complete binary trees in interconnection networks. Theoretical Computer Science, Volume 249 (Number 2). pp. 325-342. ISSN 0304-3975
Rowcliffe, P., Feng, Jianfeng and Buxton, H. (2006) Spiking perceptrons. IEEE Transactions on Neural Networks, Vol.17 (No.3). pp. 803-807. ISSN 1045-9227 doi:10.1109/TNN.2006.873274
Sackett, P. J., Al-Gaylani, M. F., Tiwari, A. and Williams, D. K. (2006) A review of data visualization: opportunities in manufacturing sequence management. INTERNATIONAL JOURNAL OF COMPUTER INTEGRATED MANUFACTURING, 19 (7). pp. 689-704. ISSN 0951-192X doi:10.1080/09511920500504578
Sanchez Silva, Victor and Nasiopoulos, Panos (2011) Compression of 3D medical images for wireless transmission. IEEE COMSOC MMTC E-Letter, 6 (7). pp. 17-21.
Sosso, Gabriele C. and Quigley, David (2019) Promoting transparency and reproducibility in enhanced molecular simulations. Nature Methods, 16 (8). pp. 670-673. ISSN 1548-7091 doi:10.1038/s41592-019-0506-8
Stergiou, Theodore, Delivasilis, Dimitrios, Leeson, Mark S. and Huang, Ray Yueh-Min (2008) Special issue in clinical information systems security. Security and Communication Networks, Vol.1 (No.5, Sp.Iss.SI). pp. 371-373. ISSN 1939-0114 doi:10.1002/sec.59
Swan, Jacky (2006) Commentary on Wanda Orlikowski's 'Material knowing: the scaffolding of human knowledgeability'. EUROPEAN JOURNAL OF INFORMATION SYSTEMS, 15 (5). pp. 467-469. ISSN 0960-085X doi:10.1057/palgrave.ejis.3000640
Wang, Liang, Zhao, Gouying, Rajpoot, Nasir M. and Nixon, Mark S. (2010) Special issue on new advances in video-based gait analysis and applications : challenges and solutions. IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics, Vol.40 (No.4, Sp.Iss.). pp. 982-985. ISSN 1083-4419 doi:10.1109/TSMCB.2010.2046351
Yuan, Ke, Girolami, Mark and Niranjan, Mahesan (2012) Markov chain Monte Carlo methods for state-space models with point process observations. Neural Computation, Volume 24 (Number 6). pp. 1462-1486. ISSN 0899-7667 doi:10.1162/NECO_a_00281
Zaman, Bieke, Vanden Abeele, Vero, Markopoulos, P. (Panos) and Marshall, Paul (2011) The evolving field of tangible interaction for children : the challenge of empirical validation. Personal and Ubiquitous Computing, Volume 16 (Number 4). pp. 367-378. ISSN 1617-4909 doi:10.1007/s00779-011-0409-x
Submitted Journal Article
Bucić, Matija and Montgomery, Richard (2022) Towards the Erdős-Gallai cycle decomposition conjecture. (Submitted)
Hart, William B. (2013) FLINT : Fast library for number theory. Computeralgebra Rundbrief . (Submitted)
Rock, Kat S., Chapman, L. A. C., Dobson, A. P., Adams, E. R . and Hollingsworth, D. (2023) The hidden hand of asymptomatic infection hinders control of neglected tropical diseases. MedRxiv . doi:10.1101/2023.10.02.23296422 (Unpublished)
Report
UNSPECIFIED (2004) Proceedings of the Third Program Visualization Workshop. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Al-Ammal, Hesham, Goldberg, Leslie Ann and MacKenzie, Phil (1999) Binary exponential backoff is stable for high arrival rates. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Allderidge, James, Beynon, Meurig, Cartwright, Richard and Yung, Yun Pui (1997) Enabling technologies for empirical modelling in graphics. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Amos, Martyn, Gibbons, Alan (Alan M.) and Hodgson, D. A. (1996) Error-resistant implementation of DNA computations. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Ashcroft, Edward A. and Wadge, William W. (1976) Lucid : a formal system for writing and proving programs. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Ashcroft, Edward A. and Wadge, William W. (1976) Lucid, a nonprocedural language with iteration. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Ashcroft, Edward A. and Wadge, William W. (1979) R for semantics. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Ashcroft, Edward A. and Wadge, William W. (1977) Scope structures and defined functions in Lucid. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Ashcroft, Edward A. and Wadge, William W. (1979) Some common misconceptions about Lucid. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Ashcroft, Edward A. and Wadge, William W. (1980) Structured Lucid. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Axford, T. H. and Joy, Mike (1993) List processing in parallel languages. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Axford, Tom and Joy, Mike (1991) List processing in parallel. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Aziz, H., Paterson, Michael S. and Leech, Dennis (2007) Efficient algorithm for designing weighted voting games. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report).
Baude, Francoise (1992) PRAM implementation on fine-grained MIMD multicomputers. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beacom, J. and Wilson , R. (1996) Multiresolution motion analysis. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Beacom, J. and Wilson , R. G. (1997) Model based multiresolution motion analysis. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Beckingsale, David A., Gaudin, W. P., Hornung, R. D., Gunney, B. T., Gamblin, Todd, Herdman, J. A. and Jarvis, Stephen A. (2014) Parallel block structured adaptive mesh refinement on graphics processing units. Lawrence Livermore National Laboratory. (LLNL Technical Report).
Berenbrink, Petra, Friedetzky, Thomas and Goldberg, Leslie Ann (2001) The natural work-stealing algorithm is stable. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Berenbrink, Petra, Friedetzky, Thomas and Martin, R. (2004) Dynamic diffusion load balancing. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Berenbrink, Petra, Goldberg, Leslie Ann, Goldberg, Paul W. and Martin, R. (Russell) (2004) Utilitarian resource assignment. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Berry, Vincent and Gascuel, Olivier (1998) Inferring evolutionary trees with strong combinatorial evidence. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1986) ARCA : a notation for displaying and manipulating combinatorial diagrams. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1982) Coset enumeration as closure computation. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1987) Definitive principles for interactive graphics. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1989) Definitive programming for parallelism. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1988) Evaluating definitive principles for interaction in graphics. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig (1986) The LSD notation for communicating systems. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1998) Modelling state in mind and machine. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig (1984) Monotone Boolean functions computable by planar circuits. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1978) On the structure of free finite state machines. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Beynon, Meurig (1992) Programming principles for the semantics of the semantics of programs. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig (1984) Replaceability and computational equivalence in finite distributive lattices. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig (1983) A definition of the ARCA notation. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig, Angier, David, Bissell, Tim and Hunt, Steve (1986) DoNaLD : a line-drawing system based on definitive principles. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig and Beynon, Will (2012) Construals as a complement to intelligent tutoring systems in medical education. University of Warwick. Department of Computer Science. (Unpublished)
Beynon, Meurig and Buckle, John Francis (1985) Computation equivalence and replaceability in finite algebras. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig, Cartwright, Alan and Yung, Yun Pui (1995) Databases from an agent-oriented perspective. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Cartwright, Richard, Cartwright, Alan and Yung, Yun Pui (1996) Abstract geometry for design in an empirical modelling context. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Cartwright, Richard, Rungrattanaubol, Jaratsri and Sun, Pi-Hwa (1999) Interactive situation models for systems development. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig and Chan, Zhan En (2009) Computing for construals in distributed participatory design : principles and tools. University of Warwick. Department of Computer Science. (Unpublished)
Beynon, Meurig and Harfield, Antony (2005) Empirical modelling in support of constructionism : a case study. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Ness, Paul Edward and Russ, Steve (1996) Worlds before and beyond words. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Norris, M. T., Russ, Steve, Slade, M. D., Yung, Yun Pui and Yung, Y. W. (1989) Software construction using definitions : an illustrative example. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig, Norris, M. T. and Slade, M. D. (1988) Definitions for modelling and simulating concurrent systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Rungrattanaubol, Jaratsri, Sun, Pi-Hwa and Wright, Amanda (1998) Explanatory models for open-ended human-computer interaction. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig and Russ, Steve (1994) Empirical modelling of requirements. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig and Russ, Steve (2006) Redressing the past : liberating computing as an experimental science. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig and Russ, Steve (1989) The development and use of variables in mathematics and computer science. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig and Russ, Steve (1992) The interpretation of states : a new foundation for computation? University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Russ, Steve, Slade, M. D., Yung, Yun Pui and Yung, Y. W. (1989) Definitive principles and the specification of software. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig, Slade, M. D. and Yung, Y. W. (1988) Parallel computation in definitive models. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig, Slade, Mike and Yung, Yun Pui (1990) Protocol specification in concurrent systems software development. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig and Spaltenstein, N. (1982) The computation of green functions of finite chevalley groups of type En (n=6,7,8). Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Beynon, Meurig and Sun, Pi-Hwa (1998) Interactive situation models for program comprehension. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Beynon, Meurig and Yung, Y. W. (1987) Implementing a definitive notation for interactive graphics. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Bhalerao, Abhir and Summers, Paul (2001) Angiotool : a tool for interactive visualization of MRI vector and tensor fields. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Bhalerao, Abhir and Wilson, Roland (1989) Multiresolution image segmentation. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Bhalerao, Abhir and Wilson, Roland (1990) Multiresolution image segmentation combining region and boundary information. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Bhardwaj, Julian (2012) The cyber security learning and research environment. University of Warwick. Department of Computer Science. (Unpublished)
Boyatt, Russell and Sinclair, Jane (2007) Investigating post-completion errors with the alloy analyzer. University of Warwick. Department of Computer Science. (Unpublished)
Bradbury, Matthew S., Adegoke, Elijah I., Kampert, Erik, Higgins, Matthew D., Watson, Tim, Jennings, Paul A., Ford, Col R., Buesnel, Guy and Steve, Hickling (2020) PNT cyber resilience : a Lab2Live observer based approach, Report 2: specifications for cyber testing facilities. Technical report 2. Coventry: University of Warwick. (Unpublished)
Campbell-Kelly, Martin (1990) The Airy Tape : an early chapter in the history of debugging. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report).
Care, Charles (2006) Modelling oil reservoirs : analog computing at British Petroleum. University of Warwick. Department of Computer Science. (Computer Science Research Report). (Unpublished)
Care, Charles (2005) The analogue computer as a scientific instrument. University of Warwick. Department of Computer Science. (Computer Science Research Report). (Unpublished)
Care, Charles (2006) A chronology of analogue computing. University of Warwick. Department of Computer Science. (Computer Science Research Report). (Unpublished)
Chown, Paul (1990) GROVER : a graph plotting program for Sun workstations. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Chown, Paul (1990) Notes on the design of a barrel shifter for the Warwick pipelined CORDIC processor. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Chown, Paul, Walton, D. W. and Nudd, G. R. (1990) VLSI design of a pipelined CORDIC processor. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Cosma, Georgina and Joy, Mike (2006) Source-code plagiarism : a UK academic perspective. University of Warwick. Department of Computer Science. (Unpublished)
Cosma, Georgina and Joy, Mike (2006) Source-code plagiarism : an academic perspective. University of Warwick. Department of Computer Science. (Unpublished)
Craig, Iain D. (1994) Agents that model themselves. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) The BB-SR system. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) Blackboard systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) CASSANDRA-II : a distributed blackboard system. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Elektra : a reflective production system. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Extending Cassandra. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Formal specification of AI systems : four case studies. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1995) Formal techniques in the development of blackboard systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Logicism and meaning : the case against (draft). University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Making Cassandra parallel and distributed. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Meanings and messages. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1996) Multi-agent systems : a risk to freedom. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1998) Programs that model themselves. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1992) Replacing Cassandra. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) Rule interpreters in ELEKTRA. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) SeRPenS : a production rule interpreter. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1988) WINNSOME : a neural network simulation package. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1992) Where do you want to go on holiday? University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) The blackboard architecture : a definition and its implications. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) The blackboard architecture : example systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) A distributed blackboard architecture. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1995) The formal specification of ELEKTRA. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) The formal specification of a blackboard framework. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1992) The new implementation of Cassandra. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1993) A new interpretation of the blackboard architecture. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1987) An overview of CASSANDRA-II. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1995) A perspective on multi-agent systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. (1991) The role of formal specification in rule-based real-time AI (extended abstract). University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. and Thomas, Robert F. (1987) Learning to program : a cognitive model for an ITS. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. and Thomos, Robert F. (1987) A review of 'android epistemology'. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Craig, Iain D. and Wilson, David H. (1987) CONFER : a knowledge system for bio-process control. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Cross, Nicola and Wilson, Roland (1995) Neural networks for object recognition. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Dain, Julia Anne (1985) Error recovery for YACC parsers. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Dain, Julia Anne (1984) Error recovery schemes in LR parsers. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Dain, Julia Anne (1988) Getting women into computing. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Dain, Julia Anne (1987) Minimum distance error correction. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Dain, Julia Anne (1988) Recruitment and performance of female and male students in science. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Dain, Julia Anne (1991) Syntax error handling in language translation systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Dain, Julia Anne (1989) Women and computing : some responses to falling numbers in higher education. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Dain, Julia Anne (1992) A practical minimum distance method for syntax error handling. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Daykin, D. E., Daykin, J. W. and Paterson, Michael S. (1983) On log concavity for order-preserving and order-non-reversing maps of partial orders. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Daykin, J. W. (1984) Inequalities for the number of monotonic functions of partial orders. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Dimovski, Aleksandar and Lazic, Ranko (2004) CSP representation of game semantics for second-order idealized Algol. University of Warwick. Department of Computer Science. (Department of Computer Science research report).
Dimovski, Aleksandar and Lazic, Ranko (2004) Software model checking based on game semantics and CSP. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Dunne, P. E. (1984) Lower bounds on the complexity of 1-time only branching programs. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Dunne, Paul E. (1983) Improved upper bounds on the area required to embed arbitrary graphs. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Dunne, Paul E. (1984) Some results on replacement rules in monotone Boolean networks. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Farkas, Monica, Beynon, Meurig and Yung, Yun Pui (1993) Agent-oriented modelling for a billiards simulation. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Faustini, A. A. (1981) An operations semantics for pure dataflow. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Ferreira, W., Hill, M. R. and Joseph, Mathai (1992) Automated timing analysis of real-time programs. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Fischer, Michael J. and Paterson, Michael S. (1992) Fishspear : a priority queue algorithm. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Gay, S. J., Nagarajan, Rajagopal and Papanikolaou, N. K. (2007) QMC : a model checker for quantum systems. University of Warwick. Department of Computer Science. (Unpublished)
Gent, Ian (1991) Finding problems in knowledge bases using modal logics. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Gent, Ian and Cohn, A. G. (1991) Reasoning about hybrid reasoning : a discussion. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Gibbons, A. M. (1986) Two equivalences for the four colours problem of planar maps. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Gibbons, Alan (Alan M.) (1988) Dynamic expression evaluation in one of a class of problems which are efficiently solvable on mesh-connected computers. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Gibbons, Alan (Alan M.) (1992) A tutorial introduction to distributed memory models of parallel computation. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Gibbons, Alan (Alan M.) and Rytter, Wojciech (1986) Fast parallel algorithms for vertex and edge colouring of Halin graphs. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Gibbons, Alan (Alan M.) and Rytter, Wojciech (1986) On the decidability of some problems about rational subsets of free partially commutative monoids. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Gibbons, Alan (Alan M.) and Rytter, Wojciech (1989) Optimally edge-colouring outerplanar graphs is in NC. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Gibbons, Alan (Alan M.) and Rytter, Wojciech (1986) A fast parallel algorithm for optimal edge-colouring of outerplanar graphs. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Gibbons, Alan (Alan M.) and Rytter, Wojciech (1986) An optimal parallel algorithm for dynamic expression evaluation and its applications. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Gibbons, Alan (Alan M.) and Ziani, R. (1990) The balanced binary tree technique on mesh connected computers. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Giridhar, P., Kumar, Vinod and Joseph, Mathai (1997) The mine pump problem. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Goldberg, Leslie Ann, Goldberg, Paul W., Phillips, Cynthia A. and Sorking, Gregory B. (1996) Constructing computer virus phylogenies. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Goldberg, Leslie Ann, Jerrum, Mark and Paterson, Michael S. (2001) The computational complexity of two-state spin systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Goldberg, Leslie Ann and MacKenzie, Phil (1997) Contention resolution with guaranteed constant expected delay. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Goldberg, Paul W. (1999) Learning fixed-dimension linear thresholds from fragmented data. University of Warwick. Department of Computer Science. (Computer science research report). (Unpublished)
Goldberg, Paul W. (2000) When can two unsupervised learners achieve PAC separation? University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Goswami, Asis and Joseph, Mathai (1988) A semantic model for the specification of real-time processes. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Griffiths, Nathan (2004) Task delegation using experience-based multi-dimensional trust. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Griffiths, Nathan and Chao, Kuo-Ming (2004) Experience-based trust : enabling effective resource selection in a grid environment. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Harper, J. S., Kerbyson, D. J. and Nudd, G. R. (1998) Analytical modeling of set-associative cache behaviour. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Harper, J. S., Kerbyson, D. J. and Nudd, G. R. (1997) Predicting the cache miss ratio of loop-nested array references. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Heitlinger, S., Taylor, A., Clarke, R., Powell, A., Gaver, B., Boucher, A., Turkay, Cagatay, Bedö, V., Schulze, H., Byles, H. and Poland, K. (2022) More-than-human data interactions in the city. London: Not specified.
Hendrix, Maurice, Bra, Paul M. E. de, Pechenizkiy, M., Smits, David and Cristea, Alexandra I. (2008) Defining adaptation in a generic multi layer model : CAM: the GRAPPLE conceptual adaptation model. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Hendrix, Maurice, Cristea, Alexandra I. and Burgos, D. (2013) Comparative analysis of adaptation in adaptive educational hypermedia and IMS-learning design. University of Warwick. Department of Computer Science. (Unpublished)
Heng, PeyShan, Joy, Mike, Boyatt, Russell and Griffiths, Nathan (2005) Evaluation of the BOSS online submission and assessment system. University of Warwick. Department of Computer Science. (Computer Science Research Report). (Unpublished)
Hill, J. M. D., Jarvis, Stephen A., Siniolakis, C. and Vasilev, V. P. (1997) Portable and architecture independent parallel performance tuning using a call-graph profiling tool : A case study in optimising SQL. Oxford, UK: University of Oxford. (Unpublished)
Hoek, W. van der (Wiebe) and Rijke, Maarten de (1996) Interleaved contractions. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Hogan, Jer (1997) An analysis of OO software metrics. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Howarth, Rolf M. and Francis, Nick D. (1988) Cluster programming language definition and user manual. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Iliopoulos, C. S. (1983) Worst-case complexity bounds on algorithms for computing the canonical structure of infinite abelian groups and solving systems of linear diophantine equations. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Iliopoulos, C. S. (Costas S.) (1982) Composition and characters of binary quadratic forms. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Iliopoulos, C. S. (Costas S.) (1982) On the computation of the structure of an Abelian group represented by a set of defining relations. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Jackson, Jennifer T. (2017) Multi-scale location analysis of vulnerabilities and their link to disturbances within digital ecosystems. Coventry: University of Warwick, Warwick Research Archive Portal.
Janowski, Tomasz (1994) Fault-tolerant bisimulation and process transformations. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Janowski, Tomasz (1994) Stepwise transformations for fault-tolerant design of CCS processes. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Janowski, Tomasz and Joseph, Mathai (1996) Dynamic scheduling in the presence of faults : specification and verification. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Jarvis, Stephen A., He, Ligang, Spooner, Daniel P. and Nudd, G. R. (2004) The impact of predictive inaccuracies on execution scheduling. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Jarvis, Stephen A., Hill, J. M. D., Siniolakis, C. and Vasilev, V. P. (2001) Portable and architecture independent parallel performance tuning. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Jarvis, Stephen A., Mirsky, Jason S., Peden, John F. and Saunders, Nigel J. (2000) Finding secret messages in DNA microdots. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Jarvis, Stephen A., Mirsky, Jason S., Peden, John F. and Saunders, Nigel J. (2000) Identification of horizontally acquired DNA using genome signature analysis. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Jarvis, Stephen A. and Nudd, G. R. (2004) Review of the e-science demonstrators and their compatibility with the Warwick middleware project. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Joseph, Mathai (1988) Software engineering : theory, experiment, practice or performance. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Joseph, Mathai and Goswami, Asis (1988) Formal description of real-time systems : a review. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Joseph, Mathai and Goswami, Asis (1989) Relating computation and time. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Joseph, Mathai and Goswami, Asis (1988) What's 'real' about real-time systems? University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Joseph, Mathai and Pandya, Paritosh K. (1987) Specification and verification of total correctness of distributed programs. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Joy, Mike (1993) Ginger : a simple functional language. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Joy, Mike (1989) The translation of high-Level functional languages to FLIC. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Joy, Mike and Axford, Tom (1990) GCODE : a revised standard for a graph representation for functional programs. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Joy, Mike and Axford, Tom (1992) Parallel combinator reduction : some performance bounds. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Joy, Mike and Axford, Tom (1987) A standard for a graph representation for functional programs. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Joy, Mike and Rayward-Smith, V. J. (1987) NP-Completeness of a combinator optimisation problem. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Kalvala, Sara, Warburton, Richard and Lacey, David (2008) Program transformations using temporal logic side conditions. University of Warwick. Department of Computer Science. (Unpublished)
Kanda, Akira (1977) Data types as effective objects. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Katugampala, Nilantha and Wilson, Roland (2003) Parameter estimation of two dimensional component Gaussian mixtures. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Kispeter, Erika (2018) What digital skills do adults need to succeed in the workplace now and in the next 10 years? London: Department of Culture, Media and Sport.
Klasing, Ralf (1998) Improved compressions of cube-connected cycles networks. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Krokhin, Andrei and Larose, Benoit (2004) Maximum constraint satisfaction on diamonds. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Kurtonina, Natasha and Rijke, Maarten de (1996) Directed simulations. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Lai, W. H. and Li, Chang-Tsun (2005) Detecting faces in color images. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Lazic, Ranko, Newcomb, Tom and Roscoe, A. W. (2004) On model checking data-independent systems with arrays with whole-array operations. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Lazic, Ranko, Newcomb, Tom and Roscoe, A. W. (2004) Polymorphic systems with arrays : decidability and undecidability. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Lazic, Ranko and Nowak, David (2003) On a semantic definition of data independence. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Lehmann, Daniel (1977) Modes in Algol Y. University of Warwick. Department of Computer Science. (Unpublished)
Lehmann, Daniel and Smyth, M. B. (1977) Data types. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Li, Chang-Tsun (2004) Reversible watermarking scheme with image-independent embedding capacity. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Li, Chang-Tsun and Li, Yue (2012) Histogram preserving QIM-based watermarking. University of Warwick. Department of Computer Science. (Unpublished)
Li, Chang-Tsun and Wilson, Roland (2007) Unsupervised learning and clustering using a random field approach. University of Warwick. Department of Computer Science. (Unpublished)
Li, Chang-Tsun and Yuan, Xiang (2012) Indexing images of buildings based on geometrical invariant Hough descriptors. University of Warwick. Department of Computer Science. (Department of Computer Science Technical report). (Unpublished)
Lim Choi Keung, Sarah Niukyun and Griffiths, Nathan (2008) Using recency and relevance to assess trust and reputation. University of Warwick. Department of Computer Science. (Unpublished)
Liu, Shuangyan, Joy, Mike and Griffiths, Nathan (2008) An exploration of correlative elements to support cognitive advancement in the design of collaborative learning tools. University of Warwick. Department of Computer Science. (Unpublished)
Liu, Zhiming (1989) Modelling checkpointing and recovery within UNITY. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Liu, Zhiming (1989) A semantic model for UNITY. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Liu, Zhiming and Joseph, Mathai (1990) Transformation of programs for fault-tolerance. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Liu, Zhiming, Ravn, Anders P., Sørensen, Erling V. and Zhou, Chaochen (1992) Towards a calculus of systems dependability. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Lord, Andrew M. (1989) Computer system dependability : an introduction. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Luck, Michael (Michael M.) and d'Inverno, Mark (1994) Agency and autonomy : a formal framework. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Martin, G. N. N. (1979) Spiral storage : incrementally augmentable hash addressed storage. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Matthews, Stephen G. (1991) Adding second order functions to Kahn data flow. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Matthews, Stephen G. (1990) Notes on separability in metric sets. Coventry, UK: Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Matthews, Stephen G. (1992) The cycle contraction mapping theorem. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Matthews, Stephen G. (1992) The topology of partial metric spaces. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
McColl, R. W. and Martin, Graham R. (1989) Texture analysis and synthesis. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
McColl, William Finlay and Paterson, Michael S. (1988) Planar acyclic computation. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Meehan, Gary (1998) The Aladin abstract machine. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Meehan, Gary (1997) Compiling functional programs to Java byte-code. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Meehan, Gary (1997) Fuzzy functional programming. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Miltersen, Peter Bro (1993) Lower bounds for union-split-find related problems on random access machines. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Moitra, Abha and Joseph, Mathai (1991) Determining timing properties of infinite real-time programs. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Munro, J. Ian and Paterson, Michael S. (1978) Selection and sorting with limited storage. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Naik, Yogesh (1991) A temporal approach to requirements specification of real-time systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Ng, Chee Un and Martin, Graham R. (2001) Content-description interfaces for medical imaging. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Novak, Ladislav and Gibbons, Alan (1989) Double independent subsets of a graph. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Novak, Ladislav and Gibbons, Alan (1989) On perfect pairs of trees in a graph. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Nurse, Jason R. C. and Sinclair, Jane (2009) BOF4WSS : a business-oriented framework for enhancing web services security for e-business. University of Warwick. Department of Computer Science. (Technical Reports). (Unpublished)
Owen, David, Behle, Heike and Baldauf, Beate (2012) Literature review on employability, inclusion and ICT report 4 : review of available data sets on employability and ICT. Luxembourg: Publications Office of the European Union.
Palmer, N. and Goldberg, Paul W. (2004) PAC classification based on PAC estimates of label class distributions. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Papaefstathiou, E., Kerbyson, D. J. and Nudd, G. R. (1994) A layered approach to parallel software performance prediction : a case study. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Papaefstathiou, E., Kerbyson, D. J., Nudd, G. R. and Atherton, T. J. (1994) An analysis of processor resource models for use in performance prediction. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Papaefstathiou, E., Kerbyson, D. J., Nudd, G. R. and Atherton, T. J. (1995) An introduction to the CHIP3S language for characterising parallel systems in performance studies. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Papaefstathiou, E., Kerbyson, D. J., Nudd, G. R., Atherton, T. J. and Harper, J. S. (1997) An introduction to the layered characterisation for high performance systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Papaefstathiou, E., Papay, J., Nudd, G. R., Atherton, T. J., Clarke, C. T., Kerbyson, D. J., Stratton, A., Ziani, R. and Zemerly, M. J. (1993) A layered approach to modelling parallel systems for performance prediction. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Papay, J., Atherton, T. J., Zemerly , M. J. and Nudd, G. R. (1996) Performance prediction of parallel self consistent field computation. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Parberry, I. (1983) Some process-saving theorems for synchronous parallel computers. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Parberry, Ian (1983) On the power of parallel machines with high-arity instruction sets. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Parberry, Ian and Goldschlager, L. (1983) On the construction of parallel computers from various bases of Boolean functions. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Park, David (1981) Concurrency and automata on infinite sequences. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Park, David (1983) Essential and ephemeral knowledge : the culture and education of computer specialists. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Park, David (1974) Finiteness is mu-ineffable. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Park, David (1979) On the semantics of fair parallelism. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Park, Heechan and Martin, Graham R. (2005) Video compression : wavelet based coding and texture synthesis based coding. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Paterson, M. S. and Dancik, V. (1994) Longest common subsequences. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Paterson, Michael S. (1993) Computer science seminars 1992/93. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Paterson, Michael S. (1987) Improved sorting networks with O(log n) depth. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Paterson, Michael S. (1986) Universal chains and wiring layouts. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Paterson, Michael S., Pippenger, Nicholas and Zwick, Uri (1990) Optimal carry save networks. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Paterson, Michael S. and Srinavasan, Aravind (1995) Contention resolution with bounded delay. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Paterson, Michael S. and Yao, F. Frances (1989) Binary partitions with applications to hidden-surface removal and solid modelling. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Paterson, Michael S. and Yao, F. Frances (1990) Optimal binary space partitions for orthogonal objects. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Paterson, Michael S. and Zwick, Uri (1990) Improved circuits and formulae for multiple addition, multiplication and symmetric Boolean functions. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Paterson, Michael S. and Zwick, Uri (1990) Shallow multiplication circuits. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Paterson, Michael S. and Zwick, Uri (1992) Shallow multiplication circuits and wise financial investments. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Peled, Doron (1992) Sometimes 'some' is as good as 'all'. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Perry, S. C., Harper, J. S., Kerbyson, D. J. and Nudd, G. R. (1999) Theory and operation of the Warwick multiprocessor scheduling (MS) system. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Peyton-Jones, S. L. and Joy, Mike (1990) FLIC - a functional language intermediate code. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Pope, N. W. and Beynon, Meurig (2011) Cadence and the empirical modelling conceptual framework : a new perspective on modelling state-as-experienced. University of Warwick. Department of Computer Science. (Unpublished)
Pu, Ida Mengyi and Gibbons, Alan (Alan M.) (1996) Matricial space-economy with constant access-time. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Rafter, Mark (1987) Formatted streams : extensible formatted I/O for C++ using object-oriented programming. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Rajpoot, Nasir M. (Nasir Mahmood) (2004) Model based optimal bit allocation. University of Warwick. Department of Computer Science. (Department of Computer Science Research report). (Unpublished)
Rawles, Simon, Joy, Mike and Evans, M. (2002) Computer-assisted assessment in computer science : issues and software. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Reyes-Aldasoro, Constantino Carlos and Bhalerao, Abhir (2002) Classification of human knee data from magnetic resonance images. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Rytter, Wojciech (1987) 100 exercises in the theory of automata and formal languages. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Rytter, Wojciech (1987) On efficient parallel computations for some dynamic programming problems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Rytter, Wojciech (1986) On the complexity of parallel parsing of general context-free languages. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Rytter, Wojciech and Giancarlo, Raffaele (1985) Optimal parallel parsing of bracket languages. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Shamir, Adi and Wadge, William W. (1977) Data types as objects. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Shuttleworth, T. and Wilson, Roland (1993) Note recognition in polyphonic music using neural networks. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Smyth, M. B. (1980) Computability in categories. Coventry, UK: Department of Computer Science, University of Warwick.
Steliaros, M.K., Martin, Graham R. and Packwood, R. A. (1997) Parallelisation of block matching motion estimation algorithms. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Sun, Pi-Hwa, Russ, Steve, Chen, Y. C. and Beynon, Meurig (1999) Cultivating requirements in a situated requirements engineering process. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Thomas, J. R. and Cohn, A. G. (1989) An expert system for hollow extrusion die design. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Thomas, Robert F. (1987) ITS methodology for problem solving and programming. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Turner, James D., Lopez-Hernandez, R., Kerbyson, D. J. and Nudd, G. R. (2003) Performance optimisation of a lossless compression algorithm using the PACE toolkit. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Uzun, Ümit (1998) Towards distributed object design. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Wadge, William W. (1978) Away from the operations view of computer science. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Wadge, William W. (1982) Classified algebras. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Wadge, William W. (1982) Introduction to the Baire space. University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Wadge, William W. (1978) Programming constructs for nonprocedural languages. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Wadge, William W. (1979) An extensional treatment of dataflow deadlock. University of Warwick. Department of Computer Science. (Theory of Computation Report). (Unpublished)
Wahab, Matthew (1998) Verification and abstraction of flow-graph programs with pointers and computed jumps. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Wahab, Matthew (1996) The semantics of TLA on the PVS theorem prover. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Walker, David (1992) Objects in the Pi-calculus. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Wang, Li and Bhalerao, Abhir (2002) Detecting branching structures using local Gaussian models. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Warburton, Richard and Kalvala, Sara (2009) Towards the automated correction of bugs. University of Warwick. Department of Computer Science. (Unpublished)
Wilson, Roland and Knutsson, Hans (1994) Seeing things. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Yaghi, Ali A. G. (1983) The compilation of functional language into intensional logic. Coventry, UK: University of Warwick. Department of Computer Science. (Department of Computer Science Research Report). (Unpublished)
Yu, Andy C. (2004) Efficient intra- and inter-mode selection algorithms for H.264/AVC. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Zemerly, M. J. (1993) Hardware characterisation of multi-processor systems. Coventry: University of Warwick. Department of Computer Science. (Unpublished)
Zemerly, M. J. and Papaefstathiou, E. (1993) Characterisation survey. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Zemerly, M. J., Papaefstathiou, E., Atherton, T. J., Kerbyson, D. J. and Nudd, G. R. (1993) Characterising computational kernels : a case study. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Zemerly, M. J., Papaefstathiou, E., Atherton, T. J., Kerbyson, D. J. and Nudd, G. R. (1993) Smart integration : a test case study. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Zemerly, M. J., Papay, J. and Nudd, G. R. (1995) Characterisation based bottleneck analysis of parallel systems. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Zheng, Y., Kerbyson, D. J. and Nudd, G. R. (1992) Efficient load balancing techniques for image analysis on an M-SIMD machine. University of Warwick. Department of Computer Science. (Department of Computer Science research report). (Unpublished)
Thesis
Williams, Richard Vernon (1992) Development of a goal management system. PhD thesis, University of Warwick.
Abdul Wahab, Monisa (2019) Raising engagement and motivation through gamified e-portfolio: A student perspective. PhD thesis, University of Warwick.
Adamaszek, Anna (2012) Approximation algorithms for geometric, caching and scheduling problems. PhD thesis, University of Warwick.
Adamu-Fika, Fatimah (2016) LnCm fault model : complexity and validation. PhD thesis, University of Warwick.
Aggarwal, Vibhor (2010) High-fidelity rendering on shared computational resources. PhD thesis, University of Warwick.
Ahmad, Ali (1989) Towards a knowledge-based discrete simulation modelling environment using Prolog. PhD thesis, University of Warwick.
Al Fayez, Reem Qadan (2016) Taming web data : exploiting linked data for integrating medical educational content. PhD thesis, University of Warwick.
Al Qudah, Dana (2016) A framework for adaptive personalised e-advertisements. PhD thesis, University of Warwick.
Al Zaidi, Mazin M. (2017) Cyber security behavioural intentions for trade secret protection. PhD thesis, University of Warwick.
Al-Fraihat, Dimah (2019) Evaluating the success of e-learning systems : the case of Moodle LMS at the University of Warwick. PhD thesis, University of Warwick.
Al-Ghamdi, M. (2012) Predictive dynamic resource allocation for web hosting environments. PhD thesis, University of Warwick.
Al-Khouri, Ali Mohammed S. M. (2007) Strategic and large scale government IT projects management: innovation report. EngD thesis, University of Warwick.
Al-Meshaiei, Eisa Abdullah Eisa S. (1999) An expert system for material handling equipment selection. PhD thesis, University of Warwick.
AlAdraj, Resala A. (2015) Security and collaborative groupware tools usage. PhD thesis, University of Warwick.
Aldawood, Mansour (2021) Secure virtual machines allocation in cloud computing environments. PhD thesis, University of Warwick.
Algamdi, Abdullah M. (2022) Action recognition and tracking using capsule networks. PhD thesis, University of Warwick.
Alghamdi, Mohammed (2020) Developing the parallelization techniques for finding the all-pairs shortest paths in graphs. PhD thesis, University of Warwick.
Alhajaili, Sara (2022) Towards reliable logging in the internet of things networks. PhD thesis, University of Warwick.
Alharbi, Alwaleed (2021) Towards accurate predicate detection in wireless sensor networks. PhD thesis, University of Warwick.
Alharthi, Khalid Ayed (2023) The terminator : an AI-based framework to handle dependability threats in large-scale distributed systems. PhD thesis, University of Warwick.
Alifantis, Thanos (2006) Knowledge based improvement : simulation and artificial intelligence for understanding and improving decision making in an operations system. PhD thesis, University of Warwick.
Allison, Ian K. (2004) Software process improvement as emergent change: a structurational analysis. PhD thesis, University of Warwick.
Alotaibi, Naif (2022) English-Arabic cross-language plagiarism detection. PhD thesis, University of Warwick.
Alsaedi, Basmah (2023) Unveiling power : a performative perspective to investigate intelligent technologies ongoing productions. PhD thesis, University of Warwick.
Alsubaie, Najah Mohammed (2018) Automatic analysis of lung adenocarcinoma histology whole slide images. PhD thesis, University of Warwick.
Alturki, Aseel Fahad (2022) Resilience, robustness and community structure of complex networks : applied to a real-world transport network. PhD thesis, University of Warwick.
Amos, Martyn (1997) DNA computation. PhD thesis, University of Warwick.
Ardeshir-Larijani, Ebrahim (2014) Automated equivalence checking of quantum information systems. PhD thesis, University of Warwick.
Arunachalam, Raghu (2000) An agent based compositional framework for supply chain simulation. PhD thesis, University of Warwick.
Assad, Fadi (2021) A component-based design approach for energy flexibility management in cyber-physical systems. PhD thesis, University of Warwick.
Atkinson, James A. (2019) Analysis of facial and body feature point trajectories for automatic affect recognition. PhD thesis, University of Warwick.
Avalos Pacheco, Alejandra (2018) Factor regression for dimensionality reduction and data integration techniques with applications to cancer data. PhD thesis, University of Warwick.
Awan, M. S. K. (Malik Shahzad K.) (2013) Performance characterization of computational resources for time-constrained job execution in P2P environments. PhD thesis, University of Warwick.
Awan, Ruqayya (2022) Deep learning based frameworks for patient selection. PhD thesis, University of Warwick.
Aziz, Haris (2009) Algorithmic and complexity aspects of simple coalitional games. PhD thesis, University of Warwick.
Baharin, Shamsuddin (1994) Knowledge-based automatic tolerance analysis system. PhD thesis, University of Warwick.
Barbosa, Manuel Romano dos Santos Pinto (1997) Traffic management and control of automated guided vehicles using artificial neural networks. PhD thesis, University of Warwick.
Barbosa, Rafael da Ponte (2017) New algorithms for distributed submodular maximization. PhD thesis, University of Warwick.
Bashford-Rogers, Thomas (2011) Accelerating global illumination for physically-based rendering. PhD thesis, University of Warwick.
Bashour, Habib (2022) Computational antibody repertoire analysis and design. PhD thesis, University of Warwick.
Batra, Vishwash (2020) Neural models for stepwise text illustration. PhD thesis, University of Warwick.
Beckingsale, David A. (2015) Towards scalable adaptive mesh refinement on future parallel architectures. PhD thesis, University of Warwick.
Bhalerao, Abhir (1991) Multiresolution Image Segmentation. PhD thesis, University of Warwick.
Biddiscombe, John A. (2017) Dataflow methods in HPC, visualisation and analysis. PhD thesis, University of Warwick.
Bird, Robert F. (2016) Performance modelling and optimisation of inertial confinement fusion simulation codes. PhD thesis, University of Warwick.
Bradley, Timothy E. (2017) Visual attention for high-fidelity imaging. PhD thesis, University of Warwick.
Brigham, Martin Patrick (2005) The organisation of technology and the technology of organisation: the Vehicle Mounted Data System and the provision of UK fire services. PhD thesis, University of Warwick.
Brough, Richard (1985) The design and construction of a decision-support system for planning local hospital services. PhD thesis, University of Warwick.
Brown, Dominic (2020) Higher-order particle representation for a portable unstructured particle-in-cell application. PhD thesis, University of Warwick.
Buckle, John Francis (1989) Computational aspects of lattice theory. PhD thesis, University of Warwick.
Bucknall, Alex R. (2022) Build framework and runtime abstraction for partial reconfiguration on FPGA SoCs. PhD thesis, University of Warwick.
Bugeja, Keith (2015) High-fidelity graphics using unconventional distributed rendering approaches. PhD thesis, University of Warwick.
Byrd, Jonathan M. R. (2010) Parallel Markov Chain Monte Carlo. PhD thesis, University of Warwick.
Cao, Junwei (2001) Agent-based resource management for grid computing. PhD thesis, University of Warwick.
Care, Charles (2008) From analogy-making to modelling : the history of analog computing as a modelling technology. PhD thesis, University of Warwick.
Carruth, Alan A. (1983) Applications of numerical computation methods in microeconomic theory. PhD thesis, University of Warwick.
Cartwright, Richard (1998) Geometric aspects of empirical modelling : issues of design and implementation. PhD thesis, University of Warwick.
Chan, Zhan En (2009) Towards efficacious groupware development: an empirical modelling approach. PhD thesis, University of Warwick.
Chang, Ching-Chun (2019) Privacy-preserving information hiding and its applications. PhD thesis, University of Warwick.
Chang, Tsiar-Yuan (1998) Representing knowledge patterns in a conceptual database design aid : a dual-base knowledge model. PhD thesis, University of Warwick.
Chaudhary, Nadeem (2013) Optimizing performance of workflow executions under authorization control. PhD thesis, University of Warwick.
Chen, Chao (2016) Performance-oriented service management in clouds. PhD thesis, University of Warwick.
Chen, Xinuo (2009) Parallelisation for data-intensive applications over peer-to-peer networks. PhD thesis, University of Warwick.
Chen, Yih-Chang (2001) Empirical modelling for participative business process reengineering. PhD thesis, University of Warwick.
Chen, Zhiyan (2022) Optimizing task offloading for mobile edge cloud systems. PhD thesis, University of Warwick.
Chester, Adam P. (2011) Towards effective dynamic resource allocation for enterprise applications. PhD thesis, University of Warwick.
Chester, Dean Gordon (2021) An applications approach to benchmarking and performance modelling low latency interconnection networks. PhD thesis, University of Warwick.
Chotvijit, Sarunkorn (2019) Social care service provision using spatial-temporal data analytics. PhD thesis, University of Warwick.
Chuah, Edward (2020) Features correlation-based workflows for high-performance computing systems diagnosis. PhD thesis, University of Warwick.
Clippingdale, Simon (1988) Multiresolution image modelling and estimation. PhD thesis, University of Warwick.
Coetzee, Peter (2017) Platforms for deployment of scalable on- and off-line data analytics. PhD thesis, University of Warwick.
Connellan, Lloyd (2018) Software for finite element methods and its application to nonvariational problems. PhD thesis, University of Warwick.
Corkett, Tony Peter (2021) Designing a new software system for the NHS : applying action design research work in an institutional setting. DBA thesis, University of Warwick.
Cormode, Graham (2003) Sequence distance embeddings. PhD thesis, University of Warwick.
Cosma, Georgina (2008) An approach to source-code plagiarism detection investigation using latent semantic analysis. PhD thesis, University of Warwick.
Croarken, Mary (1985) The centralization of scientific computation in Britain, 1925-1955. PhD thesis, University of Warwick.
Cryan, Mary (1999) Learning and approximation algorithms for problems motivated by evolutionary trees. PhD thesis, University of Warwick.
Cunningham, Teddy (2022) Generating and sharing differentially private spatio-temporal data using real-world knowledge. PhD thesis, University of Warwick.
Dain, Julia Anne (1989) Automatic error recovery for LR parsers in theory and practice. PhD thesis, University of Warwick.
Dall'Agnol, Marcel (2023) Classical and quantum sublinear algorithms. PhD thesis, University of Warwick.
Dancík, Vladimír (1994) Expected length of longest common subsequences. PhD thesis, University of Warwick.
Darmini, Asep Muizudin Muhamad (2021) Internet and the public sphere in the Indonesian Islamic boarding schools (Pondok Pesantren) : power, piety, and the popular. PhD thesis, University of Warwick.
Davies, Eleanor (2021) Modular reasoning about combining modular compiler phases. PhD thesis, University of Warwick.
Davis, James A. (2017) Analytical modelling for the performance prediction and optimisation of near-neighbour structured grid hydrodynamics. PhD thesis, University of Warwick.
Devilly, Oran Zane (2021) The impact of an adaptive learning environment on students’ classroom related and learning related emotions. PhD thesis, University of Warwick.
Devlin, Ciaran (2021) Digital planning in the smart city : the transition towards digital urban planning in English local authorities. PhD thesis, University of Warwick.
Dhokia, Amar Pravin (2017) High fidelity olfaction simulation for virtual environments. PhD thesis, University of Warwick.
Dickens, Charlie (2021) On the efficiency of finding and using tabular data summaries : scalability, accuracy, and hardness. PhD thesis, University of Warwick.
Dickson, James (2018) Towards application-centric I/O benchmarking for parallel scientific applications. PhD thesis, University of Warwick.
Dimovski, Aleksandar (2007) Compositional software verification based on game semantics. PhD thesis, University of Warwick.
Dixon, Alex (2022) Vector addition systems and their applications in the verification of computer programs. PhD thesis, University of Warwick.
Doukakis, Efstratios (2016) Resource allocation for multi-sensory virtual environments. PhD thesis, University of Warwick.
Du, Bowen (2021) Gait recognition with event cameras. PhD thesis, University of Warwick.
Dubla, Piotr (2012) Interactive global illumination on the CPU. PhD thesis, University of Warwick.
Dunne, Paul E. (1984) Techniques for the analysis of monotone Boolean networks. PhD thesis, University of Warwick.
Elahi, Farah (2021) Using virtual reality tools to improve social cognitive training in first episode psychosis. PhD thesis, University of Warwick.
Elsom-Cook, Mark (1984) Design considerations of an intelligent tutoring system for programming languages. PhD thesis, University of Warwick.
Evans, Richard Anthony (1988) Self-organising techniques for tolerating faults in 2-dimensional processor arrays. PhD thesis, University of Warwick.
Faulkner, Alastair (2004) Data integrity: an often-ignored aspect of safety systems: executive summary. EngD thesis, University of Warwick.
Faustini, Antony Azio (1982) The equivalence of an operational and a denotational semantics for pure dataflow. PhD thesis, University of Warwick.
Ferracin, Samuele (2020) Devising accreditation protocols for near-term quantum computing devices. PhD thesis, University of Warwick.
Finnegan, David Jesse (2005) Knowledge sharing in the introduction of a new technology: psychological contracts, subculture interactions and non-codified knowledge in CRM systems. PhD thesis, University of Warwick.
Finney, James (2009) Autocoding methods for networked embedded systems. EngD thesis, University of Warwick.
Flitman, Andrew (1986) Towards the application of artificial intelligence techniques for discrete event simulation. PhD thesis, University of Warwick.
Flores Armas, Denys (2019) Theory and practice of proactive database forensics. PhD thesis, University of Warwick.
Foley, Brian Patrick (2009) Addressing concerns in performance prediction : the impact of data dependencies and denormal arithmetic in scientific codes. PhD thesis, University of Warwick.
Folland, Ross Simon (2005) On the development of intelligent medical systems for pre-operative anaesthesia assessment. PhD thesis, University of Warwick.
Foss, Jonathan G. K. (2012) Manual and automatic authoring for adaptive hypermedia. PhD thesis, University of Warwick.
Francis, Nicholas David (1991) Parallel architectures for image analysis. PhD thesis, University of Warwick.
Franks, Henry P. W. (2013) Supporting cooperation and coordination in open multi-agent systems. PhD thesis, University of Warwick.
Ganaba, Taher H. (1985) Nonlinear finite element analysis of plates and slabs. PhD thesis, University of Warwick.
Gao, Bo (2015) Developing energy-aware workload offloading frameworks in mobile cloud computing. PhD thesis, University of Warwick.
Gavigan, Kevin (1994) The design, development and application of a combined connectionist expert system and 'Pocket' Boltzmann machine approach to the Dynamic Customer Assignment and Vehicle Routing Problem. PhD thesis, University of Warwick.
Gent, Ian (1993) Analytic proof systems for classical and modal logics of restricted quantification. PhD thesis, University of Warwick.
Ghali, Fawaz (2010) Social personalized e-learning framework. PhD thesis, University of Warwick.
Golshani, Forouzan (1982) Varqa : A functional query language based on an algebraic approach and conventional mathematical notation. PhD thesis, University of Warwick.
Gongora, Mario Augusto (1998) Artificial intelligence tools for path generation and optimisation for mobile robots. PhD thesis, University of Warwick.
Goudie, Robert J. B. (2011) Bayesian structural inference with applications in social science. PhD thesis, University of Warwick.
Graham, Simon (2020) Localisation and symmetry in computational pathology. PhD thesis, University of Warwick.
Gregory, Frank Hutson (1993) A logical analysis of soft systems modelling: implications for information system design and knowledge based system design. PhD thesis, University of Warwick.
Griffiths, Nathan (2000) Motivated cooperation in autonomous agents. PhD thesis, University of Warwick.
Grosso, Jasmine (2021) Reliable many-to-many routing in wireless sensor networks using ant colony optimisation. PhD thesis, University of Warwick.
Gu, Chen (2018) Source location privacy in wireless sensor networks under practical scenarios : routing protocols, parameterisations and trade-offs. PhD thesis, University of Warwick.
Gu, Zhuoer (2017) Mining previously unknown patterns in time series data. PhD thesis, University of Warwick.
Guan, Xin (2017) On reducing the data sparsity in collaborative filtering recommender systems. PhD thesis, University of Warwick.
Guo, Yue (2009) An investigation of model-based techniques for automotive electronic system development. PhD thesis, University of Warwick.
Gupta, Neha (2018) Exploring happiness indicators in cities and industrial sectors using Twitter and Urban GIS data. PhD thesis, University of Warwick.
Gurumdimma, Nentawe (2016) Towards efficient error detection in large-scale HPC systems. PhD thesis, University of Warwick.
Hadzidedic, Suncica (2017) Introducing emotion-based personalisation to cancer websites : the impact of emotions on website personalisation and reuse intentions. PhD thesis, University of Warwick.
Haldar, Aparajita (2022) Hypergraph-based optimisations for scalable graph analytics and learning. PhD thesis, University of Warwick.
Hall, Vincent Austin (2014) Self organising map machine learning approach to pattern recognition for protein secondary structures and robotic limb control. PhD thesis, University of Warwick.
Hamilton, Ross (1997) Continuous path : the evolution of process control technologies in post-war Britain. PhD thesis, University of Warwick.
Happa, Jassim (2011) High-fidelity rendering and display of cultural heritage. PhD thesis, University of Warwick.
Harvey, Carlo (2011) Modality based perception for selective rendering. PhD thesis, University of Warwick.
Hatchett, Jonathan (2017) Efficient and adaptable high dynamic range compression. PhD thesis, University of Warwick.
Hendrix, Maurice (2010) Supporting authoring of adaptive hypermedia. PhD thesis, University of Warwick.
Herdman, Andy (2017) The readying of applications for heterogeneous computing. PhD thesis, University of Warwick.
Hickey, Christopher J. A. (2021) Streaming interactive proofs. PhD thesis, University of Warwick.
Hitchcock, Peter (1974) An approach to formal reasoning about programs. PhD thesis, University of Warwick.
Hong, Seokki (2022) Essays in applied macroeconomics. PhD thesis, University of Warwick.
Hukal, Philipp (2018) Three Essays on Growth and Innovation of Digital Platforms. PhD thesis, University of Warwick.
Hung, Chin-fu (2005) Politics and public opinion in China: the impact of the Internet, 1993-2003. PhD thesis, University of Warwick.
Ibrahim Teo, Noor Hasimah (2019) Ontologies for automatic question generation. PhD thesis, University of Warwick.
Ioannou, Lenos (2021) Exploring the capabilities of FPGA DSP blocks in neural network accelerators. PhD thesis, University of Warwick.
Ismail, Amirah (2009) A metametadata framework to support semantic searching of pedagogic data. PhD thesis, University of Warwick.
Issa, Ahmad (2015) A method for ontology and knowledgebase assisted text mining for diabetes discussion forum. PhD thesis, University of Warwick.
Jackson, Jennifer T. (2017) A biodiversity approach to cyber security. PhD thesis, University of Warwick.
James, Liz (2019) On-board and off-board data platforms : innovation report. PhD thesis, University of Warwick.
Jantjies, Mmaki (2014) A framework to support multilingual mobile learning : a South African perspective. PhD thesis, University of Warwick.
Ji, Yanwan (2023) Artificial intelligence, productivity and performance. PhD thesis, University of Warwick.
Johnson, Nicholas E. (2018) Studies in urban informatics: tools and techniques to explore socio-ecological urban systems. PhD thesis, University of Warwick.
Jun, Yong-Tae (1999) A feature-based reverse engineering system using artificial neural networks. PhD thesis, University of Warwick.
Kamalakkannan, Kamalavasan (2023) High-level FPGA accelerator design for structured-mesh-based numerical solvers. PhD thesis, University of Warwick.
Kamarudin, Muhammad Hilmi (2018) An intrusion detection scheme for identifying known and unknown web attacks (I-WEB). PhD thesis, University of Warwick.
Kanda, Akira (1980) Effective solutions of recursive domain equations. PhD thesis, University of Warwick.
Kang, Chengcheng (2022) The impact of cross-platform boundary resources on the quality of multihomed apps. PhD thesis, University of Warwick.
Karunarathne, Lalith (2012) Network coding via evolutionary algorithms. PhD thesis, University of Warwick.
Katsikas, Stamatios (2017) Game theoretic models of networks security. PhD thesis, University of Warwick.
Kerbyson, Darren James (1992) A multiple-SIMD architecture for image and tracking analysis. PhD thesis, University of Warwick.
Khan, Altaf Hamid (1996) Feedforward neural networks with constrained weights. PhD thesis, University of Warwick.
Khan, Javed Arif (2018) A visual adaptive authoring framework for adaptive hypermedia. PhD thesis, University of Warwick.
Kirk, Richard Oliver (2020) Data structure abstraction and parallelisation of multi-material hydrodynamic applications. PhD thesis, University of Warwick.
Kirya, Mark Patrick (2021) Using virtual experiences to facilitate refugees' integration in third countries. PhD thesis, University of Warwick.
Klemmer, Konstantin (2022) Improving neural networks for geospatial applications with geographic context embeddings. PhD thesis, University of Warwick.
Knoblauch, Jeremias (2021) Optimization-centric generalizations of Bayesian inference. PhD thesis, University of Warwick.
Kochkina, Elena (2019) Rumour stance and veracity classification in social media conversations. PhD thesis, University of Warwick.
Kolář, Martin (2016) High quality texture synthesis. PhD thesis, University of Warwick.
Koohbanani, Navid Alemi (2020) Working with scarce annotations in computational pathology. PhD thesis, University of Warwick.
Krishna, Ritesh V. (2005) Derivation of process algebraic models of biochemical systems. MSc thesis, University of Warwick.
Kuhne, Ronja Johanna Barbara (2019) Polynomial-time efficient position. PhD thesis, University of Warwick.
Kulkarni, Tejas (2019) Efficient estimation of statistical functions while preserving client-side privacy. PhD thesis, University of Warwick.
Kusetoğulları, Hüseyin (2012) Network routing optimisation and effective multimedia transmission to enhance QoS in communication networks. PhD thesis, University of Warwick.
Kwon, Remi Jounghuem (2010) Anxiety activating virtual environments for investigating social phobias. PhD thesis, University of Warwick.
Lallie, Harjinder Singh (2019) Towards a standardised attack graph visual syntax. PhD thesis, University of Warwick.
Langley, Keith (1990) Phase relationships in stereoscopic computation. PhD thesis, University of Warwick.
Larkin, Andrew B. (1995) The development of artificial neural networks for the analysis of market research and electronic nose data. PhD thesis, University of Warwick.
Law, Timothy R. (2017) An algorithm for computing short-range forces in molecular dynamics simulations with non-uniform particle densities. PhD thesis, University of Warwick.
Lee, Poh Khoon Ernie (2007) A quest for a better simulation-based knowledge elicitation tool. PhD thesis, University of Warwick.
Leeke, Matthew (2011) Towards the design of efficient error detection mechanisms. PhD thesis, University of Warwick.
Lekhai, Y. N. D. (2021) Laser-written nitrogen vacancy centres in diamond for quantum computing. PhD thesis, University of Warwick.
Lemercier, Maud (2022) Learning on sequential data with evolution equations. PhD thesis, University of Warwick.
Levy, Ian Karl (1998) Self-similarity and wavelet forms for the compression of still image and video data. PhD thesis, University of Warwick.
Li, Anthony Zhenyu (2019) An elastic, parallel and distributed computing architecture for machine learning. PhD thesis, University of Warwick.
Li, Junyu (2020) Accelerating the processing of deep neural networks. PhD thesis, University of Warwick.
Li, Mengchu (2023) Contributions to robustness, local differential privacy and change point analysis. PhD thesis, University of Warwick.
Li, Ruizhe (2016) Learning based forensic techniques for source camera identification. PhD thesis, University of Warwick.
Li, Xingbo (2010) Swarm-inspired solution strategy for the search problem of unmanned aerial vehicles. PhD thesis, University of Warwick.
Lim Choi Keung, Hélène Niuklan (2006) Self-adaptive Grid Resource Monitoring and discovery. PhD thesis, University of Warwick.
Lim Choi Keung, Sarah Niukyun (2011) Trust-based social mechanism to counter deceptive behaviour. PhD thesis, University of Warwick.
Lisowski, Grzegorz (2022) An algorithmic analysis of deliberation and representation in collective behaviour. PhD thesis, University of Warwick.
Liu, Hao (2015) Energy saving through voltage optimisation & non-intrusive load monitoring in domestic house. PhD thesis, University of Warwick.
Liu, Shiyuan (2022) Unpacking platform business scaling in the digital age. PhD thesis, University of Warwick.
Liu, Shuangyan (2012) Intelligent support for group work in collaborative learning environments. PhD thesis, University of Warwick.
Liu, Yan, Ph.D. (2004) Numerical simulations of unsteady complex geometry flows. PhD thesis, University of Warwick.
Liu, Yifan (2023) Design of neural network-based nonlinear equalisers for coherent optical communication systems. PhD thesis, University of Warwick.
Lloyd, Katherine L. (2017) Machine learning stratification for oncology patient survival. PhD thesis, University of Warwick.
Lochanachit, Sirasit (2020) Estimating socioeconomic indicators using online data. PhD thesis, University of Warwick.
Lowe, Lawrence (1976) An investigation into the applications of real-time computing techniques in industrial audiometry. PhD thesis, University of Warwick.
Lu, Xin (Researcher in Computer science) (2013) Efficient algorithms for scalable video coding. PhD thesis, University of Warwick.
Lu, Yihe (2018) Neuronal Signal Modulation By Dendritic Geometry. PhD thesis, University of Warwick.
Luo, Man (2021) Deep spatio-temporal learning for dynamic urban shared mobility systems. PhD thesis, University of Warwick.
Ma, Guotao (2021) Probabilistic analysis of post-failure behavior of landslides using stochastic material point method. PhD thesis, University of Warwick.
Ma, Qingzhi (2021) Approximate query processing using machine learning. PhD thesis, University of Warwick.
Ma, Xiao (2011) Ontology engineering for ICT systems using semantic relationship mining and statistical social network analysis. PhD thesis, University of Warwick.
Maad, Soha (2002) An empirical modelling approach to software system development in finance : applications and prospects. PhD thesis, University of Warwick.
Mach, Lukáš (2015) Parameterized complexity : permutation patterns, graph arrangements, and matroid parameters. PhD thesis, University of Warwick.
Mainwaring, Sarah (2021) ‘From dark art to the everyday’ : American encryption policy 1950-2020. PhD thesis, University of Warwick.
Mallinson, Andrew C. (2016) Evaluating technologies and techniques for transitioning hydrodynamics applications to future generations of supercomputers. PhD thesis, University of Warwick.
Maray, Mohammed Mufareh A. (2021) Efficient computational offloading of dependent tasks in mobile edge networks. PhD thesis, University of Warwick.
Marchant, James M. (2017) Convention emergence and destabilisation in multi-agent systems. PhD thesis, University of Warwick.
Marchent, Brian Gerald (1973) Interactive computer programs for the computer aided design of linear microwave circuits. PhD thesis, University of Warwick.
Markomichalis, Panayiotis S. (1991) An object oriented approach to automating the product specification concept in the automotive industry. PhD thesis, University of Warwick.
Marnerides, Demetris (2019) Deep learning for high dynamic range imaging. PhD thesis, University of Warwick.
Marsic, Vlad Alexandru (2022) Detecting from in-vehicle at the physical layer a smart device spatial location via 2.4 GHz SRD RF services Innovation report. EngD thesis, University of Warwick.
Masood, Khalid (2010) Histological image analysis and gland modelling for biopsy classification. PhD thesis, University of Warwick.
Matsakis, Nicolaos (2015) Approximation algorithms for packing and buffering problems. PhD thesis, University of Warwick.
Matthews, Stephen G. (1985) Metric domains for completeness. PhD thesis, University of Warwick.
Mazzamurro, Matteo (2022) Structure, entropy and evolution of systems of cities. PhD thesis, University of Warwick.
McColl, Roderick William (1991) Colour image quantisation and coding for optimal perception. PhD thesis, University of Warwick.
McGee, Robert W. (1986) Accounting for software in the United States. PhD thesis, University of Warwick.
McKay, Helen (2022) Online transfer learning for concept drifting data streams. PhD thesis, University of Warwick.
McMorran, James Philip (1989) The development of an expert advisory system for the Acquired Immunodeficiency Syndrome (AIDS). PhD thesis, University of Warwick.
McNamee, Joshua (2017) Efficient streaming for high fidelity imaging. PhD thesis, University of Warwick.
Meehan, Gary (1999) Aspects of functional programming. PhD thesis, University of Warwick.
Melnicuk, Vadim (2018) Driver state monitoring using consumer electronic devices : Innovation report. EngD thesis, University of Warwick.
Miao, Yunqi (2023) Exploring deep learning powered person re-identification. PhD thesis, University of Warwick.
Miller, Adam Morrison (2015) Simulating collective motion from particles to birds. PhD thesis, University of Warwick.
Miller, Jimmie Andrew (1994) From STM to nanomemory: a transfer of technology feasibility study. PhD thesis, University of Warwick.
Miller, Sam (2022) Faster socieoeconomic indicators using novel data sources. PhD thesis, University of Warwick.
Mirza, O. M. (2018) Style analysis for source code plagiarism detection. PhD thesis, University of Warwick.
Molnar, Wolfgang Alfred (2009) Information system development in a process management environment: the dynamics of improvisation and bricolage during embedded software design. PhD thesis, University of Warwick.
Mudalige, Gihan R. (2009) Predictive analysis and optimisation of pipelined wavefront applications using reusable analytic models. PhD thesis, University of Warwick.
Muir, Corinne Gwen (2021) Biodiversity and amenity in urban parks and greenspaces. PhD thesis, University of Warwick.
Naik, Yogesh (1993) A temporal logic for the specification and verification of real-time systems. PhD thesis, University of Warwick.
Ness, Paul Edward (1997) Creative software development: an empirical modelling framework. PhD thesis, University of Warwick.
Norman, Christopher Alexander (2022) Mechanistic modelling of presynaptic dynamical systems. PhD thesis, University of Warwick.
Nurse, Jason R. C. (2010) A business-oriented framework for enhancing web services security for e-business. PhD thesis, University of Warwick.
O'Neill, Simon John (1998) A fundamental study into the theory and application of the partial metric spaces. PhD thesis, University of Warwick.
Onah, Daniel F. O. (2017) Investigating self-regulated learning in massive open online courses : a design science research approach. PhD thesis, University of Warwick.
Osgood, Thomas J. (2013) Semantic labelling of road scenes using supervised and unsupervised machine learning with lidar-stereo sensor fusion. PhD thesis, University of Warwick.
Owenson, A. M. B (2020) Towards the use of mini-applications in performance prediction and optimisation of production codes. PhD thesis, University of Warwick.
Palit, Arnab (2015) Computational modelling of diastole for human ventricle. PhD thesis, University of Warwick.
Pamuncak, Arya Panji (2021) Deep learning for structural health monitoring. PhD thesis, University of Warwick.
Pan, Luodi (2022) Examining new service development through Zhongtai strategy : a case study of AI-enabled digital platforms. PhD thesis, University of Warwick.
Papanikolaou, Nikolaos K. (2009) Model checking quantum protocols. PhD thesis, University of Warwick.
Papanikolaou, Nikolaos K. (2004) Techniques for design and validation of quantum protocols. MSc thesis, University of Warwick.
Parberry, Ian (1984) A complexity theory of parallel computation. PhD thesis, University of Warwick.
Pardoe, Andrew Charles (1996) Neural network image reconstruction for nondestructive testing. PhD thesis, University of Warwick.
Pearson, Edward R. S. (1991) The multiresolution Fourier transform and its application to polyphonic audio analysis. PhD thesis, University of Warwick.
Peinelt, Nicole (2021) Detecting semantic similarity : biases, evaluation and models. PhD thesis, University of Warwick.
Pennycook, Simon J. (2012) Evaluating the performance of legacy applications on emerging parallel architectures. PhD thesis, University of Warwick.
Perera, Shanaka (2022) A Bayesian spatial interaction framework for optimal facility location in urban environments. PhD thesis, University of Warwick.
Pergola, Gabriele (2020) Probabilistic neural topic models for text understanding. PhD thesis, University of Warwick.
Perks, O. F. J. (2013) Addressing parallel application memory consumption. PhD thesis, University of Warwick.
Pesce, Emanuele (2023) Learning to communicate in cooperative multi-agent reinforcement learning. PhD thesis, University of Warwick.
Petrášová, Alena (2010) Virtual reality for the efficient treatment of infants with feeding difficulties. PhD thesis, University of Warwick.
Philippou, Anna (1996) Reasoning about systems with evolving structure. PhD thesis, University of Warwick.
Pickardt, Christoph W. (2013) Evolutionary methods for the design of dispatching rules for complex and dynamic scheduling problems. PhD thesis, University of Warwick.
Piggin, Richard Stuart Hadley (1999) Application and development of fieldbus : executive summary. EngD thesis, University of Warwick.
Pitts, Matthew John (2019) A user experience‐based toolset for automotive human‐machine interface technology development. EngD thesis, University of Warwick.
Player, Caroline (2019) Trust assessment in the context of unrepresentative information. PhD thesis, University of Warwick.
Pope, N. W. (2011) Supporting the migration from construal to program : rethinking software development. PhD thesis, University of Warwick.
Porter, James A. (2012) Out-of-equilibrium economic dynamics and persistent polarisation. PhD thesis, University of Warwick.
Prangnell, Lee (2017) Visually lossless coding for the HEVC standard : efficient perceptual quantisation contributions for HEVC. PhD thesis, University of Warwick.
Purser, David (2020) On the complexity of verifying differential privacy. PhD thesis, University of Warwick.
Qahmash, Ayman (2018) Towards a model of giftedness in programming: an investigation of programming characteristics of gifted students at University of Warwick. PhD thesis, University of Warwick.
Qaiser, Talha (2019) Topology and attention in computational pathology. PhD thesis, University of Warwick.
Qazi, Farrukh (2020) Automating SLA enforcement in the cloud computing. PhD thesis, University of Warwick.
Qu, Hongyang (2005) Theoretical and practical tools for validating discrete and real-time systems. PhD thesis, University of Warwick.
Qu, Ruini (2018) Mechanism design for fair allocation on uniform machines. PhD thesis, University of Warwick.
Quan, Yijun (2020) Photo response non-uniformity based image forensics in the presence of challenging factors. PhD thesis, University of Warwick.
Quqandi, Ebtehal (2021) The role of mobile AR in facilitating nursing independent learning : the student experience. PhD thesis, University of Warwick.
Rahilly, John (2020) A green and pleasant land? An exploration of the impact associated with planning policy. PhD thesis, University of Warwick.
Rajpoot, Nasir M. (Nasir Mahmood) (2001) Adaptive wavelet image compression. PhD thesis, University of Warwick.
Randell, David Anthony (1991) Analysing the familiar : reasoning about space and time in the everyday world. PhD thesis, University of Warwick.
Rasmequan, Suwanna (2001) An approach to computer-based knowledge representation for the business environment using empirical modelling. PhD thesis, University of Warwick.
Ravenscroft, James (2022) Natural language processing methods for detecting and measuring the impact of scientific work beyond academia. PhD thesis, University of Warwick.
Ravindran, Somasundaram (1993) Aspects of practical implementations of PRAM algorithms. PhD thesis, University of Warwick.
Ravindran, Somasundaram (1993) Aspects of practical implementations of PRAM algorithms. PhD thesis, University of Warwick.
Raza, Shan-e-Ahmed (2014) Multi-variate image analysis for detection of biomedical anomalies. PhD thesis, University of Warwick.
Rebane, Martin (2022) Object detection for collision avoidance from lidar point clouds. PhD thesis, University of Warwick.
Redfern, Ian Douglas (1993) Automatic coset systems. PhD thesis, University of Warwick.
Rehman, Mohammed (2020) A framework for mobile learning in international contexts. PhD thesis, University of Warwick.
Ren, Shenyuan (2018) Performance-aware task scheduling in multi-core computers. PhD thesis, University of Warwick.
Reyes-Aldasoro, Constantino Carlos (2004) Multiresolution volumetric texture segmentation. PhD thesis, University of Warwick.
Roberts, Stephen I. (2017) Energy-aware performance engineering in high performance computing. PhD thesis, University of Warwick.
Roe, Chris P. (2003) Computers for learning : an empirical modelling perspective. PhD thesis, University of Warwick.
Rutter, Dorian James (2005) From diversity to convergence : British computer networks and the Internet, 1970-1995. PhD thesis, University of Warwick.
Saffaran, Sina (2020) Computational simulation of novel treatment strategies for critical lung disease in adults, children and neonates. PhD thesis, University of Warwick.
Saginbekov, Sain (2014) Efficient and reliable data dissemination and convergecast in Wireless Sensor Networks. PhD thesis, University of Warwick.
Sawant, Alok (2021) Solid particle erosion modelling using CFD techniques. PhD thesis, University of Warwick.
Sayyid, Faiz (2016) Computational models of morphology's effects on cellular dynamics. PhD thesis, University of Warwick.
Schwitter, Nicole (2022) The role of offline ties in online communities : the case of Wikipedia. PhD thesis, University of Warwick.
Scotton, Joshua D. (2013) Supporting delivery of adaptive hypermedia. PhD thesis, University of Warwick.
Shaban, Muhammad (2020) Spatial context in computational pathology. PhD thesis, University of Warwick.
Shanghooshabad, A. M. (2022) Learned joins with probabilistic graphical models. PhD thesis, University of Warwick.
Shapcott, Caroline Mary (2020) Preprocessing algorithms for the digital histology of colorectal cancer. PhD thesis, University of Warwick.
She, Bingling (2020) Critical chain identication and buffer sizing for efficient project management. PhD thesis, University of Warwick.
Shi, Lei (2014) Scaffolding for social personalised adaptive e-learning. PhD thesis, University of Warwick.
Shi, Runyu (2022) Strategies for digital platforms at early stage of development : insights gained from three empirical studies. PhD thesis, University of Warwick.
Shirian, Amir (2022) Graph neural network for audio representation learning. PhD thesis, University of Warwick.
Shonola, Shaibu A. (2017) Mobile learning security in Nigeria. PhD thesis, University of Warwick.
Sinha, Debmalya (2018) Temporal incident light fields. PhD thesis, University of Warwick.
Sinha, Sumit (2021) Building transformative framework for isolation and mitigation of quality defects in multi-station assembly systems using deep learning. PhD thesis, University of Warwick.
Sitthiworachart, Jirarat (2005) An investigation into novel software tools for enhancing students' higher cognitive skills in computer programming. PhD thesis, University of Warwick.
Sloper, John Erik (2010) Error management in ATLAS TDAQ : an intelligent systems approach. PhD thesis, University of Warwick.
Song, Yu (2008) Modelling and analysis of plant image data for crop growth monitoring in horticulture. PhD thesis, University of Warwick.
Spence, Tommer (2021) Perceptions and experiences of using internet-based testing for sexually transmitted infections. PhD thesis, University of Warwick.
Staunton, Richard C. (1991) Visual inspection : image sampling, algorithms and architectures. PhD thesis, University of Warwick.
Steadman, Liam (2020) Reducing spatio-temporal data : methods and analysis. PhD thesis, University of Warwick.
Stein, Stefan (2021) High-dimensional sparse random networks with covariates. PhD thesis, University of Warwick.
Styles, Olly (2021) Scalable methods for single and multi camera trajectory forecasting. PhD thesis, University of Warwick.
Subramaniam, Niran (2012) User interactions in enterprise systems : the role of virtual co-presence on collective activity. PhD thesis, University of Warwick.
Sun, Pi-Hwa (1999) Distributed empirical modelling and its application to software system development. PhD thesis, University of Warwick.
Sutton-Parker, Justin (2022) The impact of end user computing carbon footprint information on human behavioural change and greenhouse gas emission abatement. PhD thesis, University of Warwick.
Tabankov, Sergey Stroppiana (2022) Organizations and stakeholders : three papers on data leveraging for AI implementation. PhD thesis, University of Warwick.
Tan, Wee Hoe (2010) Game-based learning in formal educational contexts : how subject matter experts and game experts could collaborate to design and develop games. PhD thesis, University of Warwick.
Taramonli, Chryssanthi (2014) Energy conscious adaptive security. PhD thesis, University of Warwick.
Taylor, Phillip M. (2015) Data mining of vehicle telemetry data. PhD thesis, University of Warwick.
Thiarai, Malkiat (2020) A study of the challenges and capability of the re-use of social care data. PhD thesis, University of Warwick.
Thirupathi, Devi (1998) Integrated information model for managing the product introduction process. PhD thesis, University of Warwick.
Thomas, Michael O. J. (1988) A conceptual approach to the early learning of Algebra using a computer. PhD thesis, University of Warwick.
Thomason, Alasdair (2017) Predicting context and locations from geospatial trajectories. PhD thesis, University of Warwick.
Todd, Martin Peter (1989) Image data compression based on a multiresolution signal model. PhD thesis, University of Warwick.
Toor, Saba K. (2015) A pedagogical framework for enhancing skills of references and citations. PhD thesis, University of Warwick.
Tran, Sang Cong (1991) Applications of formal methods in engineering. PhD thesis, University of Warwick.
Triantafyllidis, Vasileios (2018) High-dimensional-output surrogate models for uncertainty and sensitivity analyses. PhD thesis, University of Warwick.
Ungredda, Juan (2022) Efficient information collection for Bayesian optimisation with constraints, user preferences and input uncertainty. PhD thesis, University of Warwick.
Van Hinsbergh, James (2022) Enhancing vehicle destination prediction using latent trajectory information. PhD thesis, University of Warwick.
Vaudin, John (1991) A unified programming system for a multi-paradigm parallel architecture. PhD thesis, University of Warwick.
Wahab, Matthew (1998) Object code verification. PhD thesis, University of Warwick.
Walke, Richard Lewis (1997) High sample-rate Givens rotations for recursive least squares. PhD thesis, University of Warwick.
Wan, Zhuo (2021) Analysis of neuroimaging with big data to understand brain systems involved in emotion. PhD thesis, University of Warwick.
Wang, Bo (2017) Macro-micro approach for mining public sociopolitical opinion from social media. PhD thesis, University of Warwick.
Wang, Cong (2022) The brain of the smart transportation system : exploring the role of future expectations and sociotechnical imaginaries in cutting-edge science and technology policymaking in China. PhD thesis, University of Warwick.
Wang, Haining (2022) Three papers on the impacts of artificial intelligence. PhD thesis, University of Warwick.
Wang, Haoyi (2020) Age-related facial analysis with deep learning. PhD thesis, University of Warwick.
Wang, Li (2004) Segmentation of branching structures from medical images. PhD thesis, University of Warwick.
Wang, Mengke (2022) TSP and its variants : use of solvable cases in heuristics. PhD thesis, University of Warwick.
Wang, Shen (2023) New authentication applications in the protection of caller ID and banknote. PhD thesis, University of Warwick.
Wang, Weicheng (2022) Coordinating selfish behaviors with economic incentives. PhD thesis, University of Warwick.
Warburton, Richard (2010) On the generation and analysis of program transformations. PhD thesis, University of Warwick.
Waters, Matthew (2009) Application of software engineering tools and techniques to PLC programming : innovation report. EngD thesis, University of Warwick.
Watson, Gregory A. (2020) People re-identification using deep appearance, feature and attribute learning. PhD thesis, University of Warwick.
Webb, Tristan J. (2013) Biologically plausible attractor networks. PhD thesis, University of Warwick.
Welch, Peter Hugh (1974) The minimal continuous semantics of the lambda-calculus. PhD thesis, University of Warwick.
Wilson-Nunn, Daniel (2021) Robust regression on clustered data and signature based online Arabic handwriting recognition. PhD thesis, University of Warwick.
Wisnuwardani, Febriana (2022) The influence of the open-endedness of data on the data scientists’ work practice and occupational identity. PhD thesis, University of Warwick.
Withers, Stephen John (1981) Towards the on-line development of visual interactive simulation models. PhD thesis, University of Warwick.
Wolfgarten, Thomas (2012) Model based community detection with application to citation analysis. Other thesis, University of Warwick.
Wong, Peter Yung Ho (2006) An investigation in energy consumption analyses and application-level prediction techniques. MSc thesis, University of Warwick.
Wong, Wai (1992) A formal theory of railway track networks in higher-order logic and its applications in interlocking design. PhD thesis, University of Warwick.
Wright, Steven A. (2014) Monitoring, analysis and optimisation of I/O in parallel applications. PhD thesis, University of Warwick.
Wu, Hao (2022) Optimizing GAN for generating high quality samples. PhD thesis, University of Warwick.
Wu, Wentai (2021) Towards efficient horizontal federated learning. PhD thesis, University of Warwick.
Xie, Jingjie (2022) Wind turbine and farm control via reinforcement learning. PhD thesis, University of Warwick.
Xing, Wei (2016) Manifold learning for emulations of computer models. PhD thesis, University of Warwick.
Xu, Daoyi (1994) Texture analysis and synthesis using the multiresolution Fourier transform. PhD thesis, University of Warwick.
Xue, James Wen Jun (2009) Performance evaluation and resource management in enterprise systems. PhD thesis, University of Warwick.
Xue, Lei (2005) Internet connection method for mobile ad hoc wireless networks. PhD thesis, University of Warwick.
Yaghi, Ali A. G. (1984) An intensional implementation technique for functional languages. PhD thesis, University of Warwick.
Yang, Horng-Chang (1994) Multiresolution neural networks for image edge detection and restoration. PhD thesis, University of Warwick.
Yao, Ye (2016) Variability of structurally constrained and unconstrained functional connectivity in schizophrenia. PhD thesis, University of Warwick.
Yao, Yi (2014) Hand gesture recognition in uncontrolled environments. PhD thesis, University of Warwick.
Yao, Zhen (2007) Directional edge and texture representations for image processing. PhD thesis, University of Warwick.
Yau, Cheuk Yin (2011) Reusing semantic web data in authoring Wikipedia. PhD thesis, University of Warwick.
Yau, Jane Yin-Kim (2011) A mobile context-aware learning schedule framework with Java learning objects. PhD thesis, University of Warwick.
Ye, Youjie (2022) Machine learning for signal detection and estimation in wireless communications. PhD thesis, University of Warwick.
Yin, Siu Wan Surlina (2022) The new intelligent employee experience model : a digital ethnographic case study of conversational artificial intelligence for human capital management. DBA thesis, University of Warwick.
Yu, Chia Woo (2007) Improved algorithms for hybrid video coding. PhD thesis, University of Warwick.
Yuan, Yinyin (2009) Statistical inference from large-scale genomic data. PhD thesis, University of Warwick.
Zeng, Li (2023) Mobile agents on simple networks : social distancing, gathering, dispersion and search games. PhD thesis, University of Warwick.
Zhang, Fu (2012) Intelligent feature selection for neural regression : techniques and applications. PhD thesis, University of Warwick.
Zhang, Wen (2018) Efficient multi-objective ranking and selection in the presence of uncertainty. PhD thesis, University of Warwick.
Zhao, Runcong (2023) Probabilistic models for opinion dynamics understanding. PhD thesis, University of Warwick.
Zhou, Yiwei (2017) Understanding the topics and opinions from social media content. PhD thesis, University of Warwick.
Zhou, Yujue (2022) Data-driven abnormal detection for utility-oriented sensor time series data. PhD thesis, University of Warwick.
Zhu, Huanzhou (2016) Developing graph-based co-scheduling algorithms with GPU acceleration. PhD thesis, University of Warwick.
Zhu, Lixing (2023) Topic representation learning on sequential data for text understanding. PhD thesis, University of Warwick.
Ziani, Ridha (1992) On the implementation of P-RAM algorithms on feasible SIMD computers. PhD thesis, University of Warwick.
Zito, M. (1999) Randomised techniques in combinatorial algorithmics. PhD thesis, University of Warwick.
Özyiğit, Eda Bilici (2020) MuCIGREF: multiple computer-interpretable guideline representation and execution framework for managing multimobidity care. PhD thesis, University of Warwick.
Digital Scholarly Resource
Bretschneider, Till (2023) Software accompanying Lutton et al. 'Formation and closure of macropinocytic cups in Dictyostelium' (Current Biology, 2023). [Online]. (https://wrap.warwick.ac.uk/175423). Coventry, UK: University of Warwick, Department of Computer Science.
Charlesworth, Henry J. and Turner, Matthew S. (2019) Supplementary information for : Intrinsically motivated collective motion. [Online]. (https://www.pnas.org/lookup/suppl/doi:10.1073/pnas...).
Uprichard, Emma (2015) Most big data is social data : the analytics need serious interrogation. [Online]. (http://blogs.lse.ac.uk/impactofsocialsciences/2015...). UK: London School of Economics.
Wade, Sara (2015) Manual for mcclust.ext R package. [Online]. . [Coventry]: . (Unpublished)
Wade, Sara (2015) mcclust.ext R package. [Online]. . [Coventry]: . (Unpublished)
News Item
UNSPECIFIED (2004) 2003 fellows of the Computer History Museum. IEEE ANNALS OF THE HISTORY OF COMPUTING, 26 (1). p. 69. ISSN 1058-6180
UNSPECIFIED (2000) Enigma machine stolen from Bletchley Park. IEEE ANNALS OF THE HISTORY OF COMPUTING, 22 (3). pp. 88-89. ISSN 1058-6180
UNSPECIFIED (2003) Max Newman: Forgotten father of computing? IEEE ANNALS OF THE HISTORY OF COMPUTING, 25 (4). pp. 73-74. ISSN 1058-6180
UNSPECIFIED (2005) The computing before computers meeting. IEEE ANNALS OF THE HISTORY OF COMPUTING, 27 (3). p. 90. ISSN 1058-6180
Cragg, Emma (2011) Everybody loves surfing. ORGZine .
Dataset
Arana-Catania, Miguel, Kochkina, Elena, Zubiaga, Arkaitz, Liakata, Maria, Procter, Rob and He, Yulan (2022) PANACEA dataset - Heterogeneous COVID-19 Claims. [Dataset]
Arnold, Eduardo, Al-Jarrah, Omar Y., Dianati, Mehrdad, Fallah, Saber, Oxtoby, David and Mouzakitis, Alexandros (2021) Data for Cooperative object classification for driving applications. [Dataset]
Arvanitis, Theodoros N., White, Sean, Harrison, Stuart, Chaplin, Rupert and Despotou, George (2022) Data for A method for machine learning generation of realistic synthetic datasets for validating healthcare applications. [Dataset]
Bradbury, Matthew S., Jhumka, Arshad and Watson, Tim (2021) Data for Buffer management for trust computation in resource-constrained IoT networks. [Dataset]
Bradbury, Matthew S., Jhumka, Arshad and Watson, Tim (2021) Data for Trust trackers for computation offloading in edge-based IoT networks. [Dataset]
Coak, Matthew John, Liu, Cheng, Jarvis, David M., Park, Seunghyun, Cliffe, Matthew J. and Goddard, Paul A. (2020) Data for SquidLab : a user-friendly program for background subtraction and fitting of magnetization data. [Dataset]
Cooper, Laura J. and Sprittles, James E. (2020) Data for A computational study of fluctuating viscoelastic forces on trapped interfaces in porous media. [Dataset]
Eyriay, Iuliia (2022) Data for Synthesis and Analysis of Biomolecular Circuits using DNA Strand Displacement. [Dataset]
Grosso, Jasmine, Jhumka, Arshad and Bradbury, Matthew S. (2019) Data for Reliable many-to-many routing in wireless sensor networks using ant colony optimisation. [Dataset]
Gu, Chen (2018) Data for Phantom walkabouts : a customisable source location privacy aware routing protocol for wireless sensor networks. [Dataset]
Gu, Chen, Bradbury, Matthew S., Kirton, Jack and Jhumka, Arshad (2017) Data for Source location privacy aware routing protocols selection results. [Dataset]
Habershon, Scott (2022) Data for Program synthesis of sparse algorithms for wave function and energy prediction in grid-based quantum simulations. [Dataset]
Habershon, Scott (2021) Data for Solving the Schrodinger equation using program synthesis. [Dataset]
Horita, E. A. Flavio, Albuquerque, João Porto de , Marchezini, V. and Mendiondo, E. M. (2018) Dataset for Qualitative investigation of data-driven decision making within a control room for disaster monitoring and early-warning in Brazil. [Dataset]
Jackson, Jennifer (2018) Data for A biodiversity approach to cyber security. [Dataset]
Robertson, Christopher and Habershon, Scott (2019) Data for Fast screening of homogeneous catalysis mechanisms using graph-driven searches and approximate quantum chemistry. [Dataset]
Spencer, Matthew (2022) Data for Scaling trust: An anthropology of cyber security. [Dataset]
Stewart, Neil, Ungemach, Christoph, Harris, Adam J. L. , Bartels, Daniel M., Newell, Ben R., Paolacci, Gabriele and Chandler, Jesse (2019) Data for The average laboratory samples a population of 7,300 Amazon Mechanical Turk workers 2012-2017. [Dataset]
Townsend, Richard, Tsakalidis, Adam, Zhou, Yiwei, Wang, Bo, Liakata, Maria, Cristea, Alexandra I. and Procter, Rob (2015) Phrase-Level Word Embeddings. [Dataset]
Zubiaga, Arkaitz (2017) Internet of Things tweets (2009-2016). [Dataset]
Zubiaga, Arkaitz, Wong Sak Hoi, Geraldine, Liakata, Maria and Procter, Rob (2016) PHEME dataset of rumours and non-rumours. [Dataset]
de Assis, Luiz Fernando F. G., Horita, Flávio E. A., de Freitas, Edison P., Ueyama, Jo and Albuquerque, João Porto de (2018) Data for A service-oriented middleware for integrated management of crowdsourced and sensor data streams in disaster management. [Dataset]
Book Review
UNSPECIFIED (2001) Charles Babbage and the engines of perfection. IEEE ANNALS OF THE HISTORY OF COMPUTING, 23 (3). p. 78. ISSN 1058-6180
UNSPECIFIED (2000) Howard Aiken: Portrait of a computer pioneer. IEEE ANNALS OF THE HISTORY OF COMPUTING, 22 (1). pp. 89-90. ISSN 1058-6180
UNSPECIFIED (1999) Information ages: Literacy numeracy, and the computer revolution. IEEE ANNALS OF THE HISTORY OF COMPUTING, 21 (4). p. 83. ISSN 1058-6180
UNSPECIFIED (1998) Information technology and authentic learning: Realising the potential of computers in the primary classroom. COMPUTERS & EDUCATION, 31 (2). pp. 253-254. ISSN 0360-1315
UNSPECIFIED (1984) THE LANDSCAPE OF LITERATURES - USE OF SUBJECT COLLECTIONS IN A UNIVERSITY-LIBRARY - METZ,P. JOURNAL OF DOCUMENTATION, 40 (3). pp. 229-230. ISSN 0022-0418
UNSPECIFIED (2000) Legal battles that shaped the computer industry. IEEE ANNALS OF THE HISTORY OF COMPUTING, 22 (2). p. 77. ISSN 1058-6180
UNSPECIFIED (1999) Turing's legacy: A history of computing at the National Physical Laboratory 1945-1995. IEEE ANNALS OF THE HISTORY OF COMPUTING, 21 (2). pp. 78-79. ISSN 1058-6180
UNSPECIFIED (2006) The government machine : a revolutionary history of the computer. IEEE Annals of the History of Computing, 28 (1). pp. 96-97. ISSN 1058-6180
Hammond, Michael (2007) Review of Meeting the standards in using ICT for secondary teaching: a guide to the ITT NC, ed. by Kennewell, S. Computers & Education, Vol.48 (No.2). pp. 329-331. ISSN 0360-1315
Marres, Noortje (2020) Review of Communities at a crossroads : material semiotics for online sociability in the fade of cyberculture by Pelizza, A. Information, Communication & Society . pp. 1-4. ISSN 1468-4462