The Library
Browse by Warwick Author
Up a level |
Number of items: 56.
2023
Wardana, I Nyoman Kusuma, Fahmy, Suhaib A. and Gardner, J. W. (2023) TinyML models for a low-cost air quality monitoring device. Sensors Letters, 7 (11). 6007804. doi:10.1109/LSENS.2023.3315249 ISSN 2475-1472.
Aldawood, Mansour, Jhumka, Arshad and Fahmy, Suhaib A. (2023) Toward secure VMs allocation : analysis of VMs allocation behaviours in the cloud computing environments. In: International Conference on Cloud Computing and Services Science. CLOSER 2021, Virtual, 28-30 Apr 2021. Published in: Communications in Computer and Information Science, 1607 pp. 25-46. ISBN 9783031216367. doi:10.1007/978-3-031-21637-4_2 ISSN 1865-0929.
2022
Wardana, I. Nyoman Kusuma , Gardner, Julian W. and Fahmy, Suhaib A. (2022) Estimation of missing air pollutant data using a spatiotemporal convolutional autoencoder. Neural Computing and Applications, 34 . pp. 16129-16154. doi:10.1007/s00521-022-07224-2 ISSN 0941-0643.
Kamalakkannan, Kamalavasan, Mudalige, Gihan R., Reguly, Istvan Z. and Fahmy, Suhaib A. (2022) High throughput multidimensional tridiagonal system solvers on FPGAs. In: ACM International Conference on Supercomputing, Virtual, 28-30 Jun 2022. Published in: ICS '22: Proceedings of the 36th ACM International Conference on Supercomputing pp. 1-12. ISBN 9781450392815. doi:10.1145/3524059.3532371
2021
Chester, Dean G., Groves, Taylor L., Hammond, Simon D., Law, Timothy R., Wright, Steven A., Smedley-Stevenson, Richard P., Fahmy, Suhaib A., Mudalige, Gihan R. and Jarvis, Stephen A. (2021) StressBench : a configurable full system network and I/O benchmark framework. In: 2021 IEEE High Performance Extreme Computing Virtual Conference, Virtual, 20-24 Sep 2021
Bucknall, Alex and Fahmy, Suhaib A. (2021) Runtime abstraction for autonomous adaptive systems on reconfigurable hardware. In: Design, Automation and Test in Europe Conference, Virtual Event- Grenoble (France), 1–5 Feb 2021. Published in: 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE) doi:10.23919/DATE51398.2021.9474199 ISSN 1530-1591.
Yan, Hua, Yang, Shuang-Hua, Chen, Yunfei and Fahmy, Suhaib A. (2021) Optimum battery weight for maximizing available energy in UAV-enabled wireless communications. IEEE Wireless Communications Letters, 10 (7). pp. 1410-1413. doi:10.1109/LWC.2021.3069078 ISSN 2162-2337.
Kamalakkannan, K., Mudalige, Gihan R., Reguly, Istvan Z. and Fahmy, Suhaib A. (2021) High-level FPGA accelerator design for structured-mesh-based explicit numerical solvers. In: 35th IEEE International Parallel & Distributed Processing Symposium, Portland, Oregon, USA, 17-21 May 2021. Published in: 2021 IEEE International Parallel and Distributed Processing Symposium (IPDPS) ISBN 9781665440660. doi:10.1109/IPDPS49936.2021.00117
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2021) Coarse grained FPGA overlay for rapid just-in-time accelerator compilation. IEEE Transactions on Parallel and Distributed Systems, 33 (6). pp. 1478-1490. doi:10.1109/tpds.2021.3116859 ISSN 1558-2183.
Bucknall, Alex R., Shreejith, Shanker and Fahmy, Suhaib A. (2021) Build automation and runtime abstraction for partial reconfiguration on Xilinx Zynq UltraScale+. In: 2020 International Conference on Field Programmable Technology, Virtual conference, 9–11 Dec 2020. Published in: 2020 International Conference on Field-Programmable Technology (ICFPT) ISBN 9781665446228. doi:10.1109/ICFPT51103.2020.00037
Chester, Dean G., Groves, Taylor L., Hammond, Simon D., Law, Timothy R., Wright, Steven A., Smedley-Stevenson, Richard P., Fahmy, Suhaib A., Mudalige, Gihan R. and Jarvis, Stephen A. (2021) StressBench : a configurable full system network and I/O benchmark framework. In: ISC High Performance 2021 Digital, Virtual conference, 24 Jun-02 Jul 2021 (Unpublished)
Wardana, I. Nyoman Kusuma , Gardner, J. W. and Fahmy, Suhaib A. (2021) Optimising deep learning at the edge for accurate hourly air quality prediction. Sensors, 21 (4). 1064. doi:10.3390/s21041064 ISSN 1424-8220.
Aldawood, Mansour, Jhumka, Arshad and Fahmy, Suhaib A. (2021) Sit here : placing virtual machines securely in cloud environments. In: 11th International Conference on Cloud Computing and Services Science, Virtual conference, 28-30 Apr 2021. Published in: Proceedings of the 11th International Conference on Cloud Computing and Services Science pp. 248-259. ISBN 9789897585104. doi:10.5220/0010459202480259 ISSN 2184-5042.
2020
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) Characterizing latency overheads in the deployment of FPGA accelerators. In: International Conference on Field Programmable Logic and Applications (FPL), Virtual conference, 31 Aug–4 Sep 2020. Published in: 2020 30th International Conference on Field-Programmable Logic and Applications (FPL) ISBN 9781728199030. doi:10.1109/FPL50879.2020.00064 ISSN 1946-1488.
Li, Xiangwei, Vipin, Kizheppatt, Maskell, Douglas L., Fahmy, Suhaib A. and Jain, Abhishek Kumar (2020) High throughput accelerator interface framework for a linear time-multiplexed FPGA overlay. In: IEEE International Symposium on Circuits and Systems, Seville, Spain, 17–20 May 2020. Published in: 2020 IEEE International Symposium on Circuits and Systems (ISCAS) ISBN 9781728133201. doi:10.1109/ISCAS45731.2020.9181072 ISSN 2158-1525.
Ioannou, Lenos, Al-Dujaili, Abdullah and Fahmy, Suhaib A. (2020) High throughput spatial convolution filters on FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 28 (6). pp. 1392-1402. doi:10.1109/TVLSI.2020.2987202 ISSN 1063-8210.
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) Quantifying the latency benefits of near-edge and in-network FPGA acceleration. In: International Workshop on Edge Systems, Analytics and Networking (EdgeSys), Heraklion, Greece, 27 Apr 2020. Published in: EdgeSys '20: Proceedings of the Third ACM International Workshop on Edge Systems, Analytics and Networking pp. 7-12. ISBN 9781450371322/20/04. doi:10.1145/3378679.3394534
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) A model for distributed in-network and near-edge computing with heterogeneous hardware. Future Generation Computer Systems, 105 . pp. 395-409. doi:10.1016/j.future.2019.11.040 ISSN 0167-739X.
Ioannou, Lenos and Fahmy, Suhaib A. (2020) Lightweight programmable DSP block overlay for streaming neural network acceleration. In: International Conference on Field Programmable Technology, Tianjin, China, 9–13 Dec 2019. Published in: 2019 International Conference on Field-Programmable Technology (ICFPT) ISBN 9781728129440. doi:10.1109/ICFPT47387.2019.00066
Bucknall, Alex R., Shreejith, Shanker and Fahmy, Suhaib A. (2020) Network enabled partial reconfiguration for distributed FPGA edge acceleration. In: International Conference on Field Programmable Technology, Tianjin, China, 9–13 Dec 2019. Published in: 2019 International Conference on Field-Programmable Technology (ICFPT) ISBN 9781728129433. doi:10.1109/ICFPT47387.2019.00042
2019
Ioannou, Lenos and Fahmy, Suhaib A. (2019) Network intrusion detection using neural networks on FPGA SoCs. In: International Conference on Field-Programmable Logic and Applications, Barcelona, Spain, 9–13 Sep 2019. Published in: 2019 29th International Conference on Field Programmable Logic and Applications (FPL) ISBN 9781728148847. doi:10.1109/FPL.2019.00043 ISSN 1946-1488.
Roberts, Stephen I., Wright, Steven A., Fahmy, Suhaib A. and Jarvis, Stephen A. (2019) The power-optimised software envelope. ACM Transactions on Architecture and Code Optimization (TACO), 16 (3). 21. doi:10.1145/3321551 ISSN 1544-3566.
2018
Shreejith, Shanker, Cooke, Ryan A. and Fahmy, Suhaib A. (2018) A smart network interface approach for distributed applications on Xilinx Zynq SoCs. In: International Conference on Field-Programmable Logic and Applications, Dublin, Ireland, 27–31 Aug 2018. Published in: 2018 28th International Conference on Field Programmable Logic and Applications (FPL) doi:10.1109/FPL.2018.00038 ISSN 1946-1488.
Fahmy, Suhaib A. (2018) Design abstraction for autonomous adaptive hardware systems on FPGAs. In: NASA/ESA Conference on Adaptive Hardware and Systems, Edinburgh, UK, 6–9 Aug 2018. Published in: 2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS) doi:10.1109/AHS.2018.8541489 ISSN 2471-769X.
Vipin, Kizheppatt and Fahmy, Suhaib A. (2018) FPGA Dynamic and Partial Reconfiguration. ACM Computing Surveys, 51 (4). pp. 1-39. doi:10.1145/3193827 ISSN 0360-0300.
Vipin, Kizheppatt and Fahmy, Suhaib A. (2018) FPGA dynamic and partial reconfiguration : a survey of architectures, methods, and applications. ACM Computing Surveys, 51 (4). 72. doi:10.1145/3193827 ISSN 0360-0300.
Shreejith, Shanker, Mathew, Libin K., Vinod, A. P. and Fahmy, Suhaib A. (2018) Efficient spectrum sensing for aeronautical LDACS using low-power correlators. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26 (6). doi:10.1109/TVLSI.2018.2806624 ISSN 1063-8210.
Li, Xiangwei, Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2018) A time-multiplexed FPGA overlay with linear interconnect. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 19–23 Mar 2018. Published in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) doi:10.23919/DATE.2018.8342171
Shreejith, Shanker and Fahmy, Suhaib A. (2018) Smart network interfaces for advanced automotive applications. IEEE Micro, 38 (2). pp. 72-80. doi:10.1109/MM.2018.022071137 ISSN 0272-1732.
Li, Xiangwei, Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2018) A time-multiplexed FPGA overlay with linear interconnect. In: DATE 2018 : Design, Automation and Test in Europe Conference and Exhibition, Dresden, Germany , 19-23 March 2018 . Published in: PROCEEDINGS OF THE 2018 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION pp. 1075-1080. doi:10.23919/DATE.2018.8342171
2017
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2017) An end-to-end multi-standard OFDM transceiver architecture using FPGA partial reconfiguration. IEEE Access, 5 . pp. 21002-21015. doi:10.1109/ACCESS.2017.2756914 ISSN 2169-3536.
Ronak, Bajaj and Fahmy, Suhaib A. (2017) Multipumping flexible DSP blocks for resource reduction on Xilinx FPGAs. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 36 (9). 1471 -1482. doi:10.1109/TCAD.2016.2629421 ISSN 0278-0070.
Warrier, Rakesh, Shreejith, Shanker, Zhang, Wei, Vun, Chan Hua and Fahmy, Suhaib A. (2017) Fracturable DSP block for multi-context reconfigurable architectures. Circuits, Systems, and Signal Processing, 36 (7). pp. 3020-3033. doi:10.1007/s00034-016-0445-x ISSN 0278-081X.
Roberts, Stephen I., Wright, Steven A., Fahmy, Suhaib A. and Jarvis, Stephen A. (2017) Metrics for energy-aware software optimisation. In: Kunkel, J. and Yokota , R. and Balaji , P. and Keyes , D., (eds.) High Performance Computing 32nd International Conference, ISC High Performance 2017, Frankfurt, Germany, June 18–22, 2017, Proceedings. Lecture Notes in Computer Science, 10266 . Springer, pp. 413-430. ISBN 9783319586663
Shreejith, Shanker, Mundhenk, Philipp, Ettner, Andreas, Fahmy, Suhaib A., Steinhorst, Sebastian, Lukasiewycz, Martin and Chakraborty, Samarjit (2017) VEGa : a high performance vehicular Ethernet gateway on hybrid FPGA. IEEE Transactions on Computers, 66 (10). pp. 1790-1803. doi:10.1109/TC.2017.2700277 ISSN 0018-9340.
Mundhenk, Philipp, Paverd, Andrew, Mrowca, Artur, Steinhorst, Sebastian, Lukasiewycz, Martin, Fahmy, Suhaib A. and Chakraborty, Samarjit (2017) Security in automotive networks : lightweight authentication and authorization. ACM Transactions on Design Automation of Electronic Systems, 22 (2). 25. doi:10.1145/2960407 ISSN 1084-4309.
Asiatici, Mikhail, George, Nithin, Vipin, Kizheppatt, Fahmy, Suhaib A. and Ienne, Paolo (2017) Virtualized execution runtime for FPGA accelerators in the cloud. IEEE Access, 5 . pp. 1900-1910. doi:10.1109/ACCESS.2017.2661582 ISSN 2169-3536.
2016
Shreejith, Shanker, Ambede, Abhishek, Vinod, A. P. and Fahmy, Suhaib A. (2016) A power and time efficient radio architecture for LDACS1 air-to-ground communication. In: Digital Avionics Systems Conference, Sacramento, CA, 25 - 29 Sep 2016. Published in: Proceedings of the Digital Avionics Systems Conference doi:10.1109/DASC.2016.7778043 ISSN 2155-7209.
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) Are coarse-grained overlays ready for general purpose application acceleration on FPGAs? In: IEEE International Conference on Pervasive Intelligence and Computing, Auckland, New Zealand, 8–12 Aug 2016. Published in: 2016 IEEE 14th Intl Conf on Dependable, Autonomic and Secure Computing, 14th Intl Conf on Pervasive Intelligence and Computing, 2nd Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress(DASC/PiCom/DataCom/CyberSciTech) ISBN 9781509040667. doi:10.1109/DASC-PICom-DataCom-CyberSciTec.2016.110
Jain, Abhishek Kumar, Li, Xiangwei, Singhai, Pranjul, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) DeCO : A DSP block based FPGA accelerator overlay with low overhead interconnect. In: IEEE International Symposium on Field-Programmable Custom Computing Machines, Washington, DC, 1–3 May 2016. Published in: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 1-8.
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Initiation interval aware resource sharing for FPGA DSP blocks. In: IEEE International Symposium on Field-Programmable Custom Computing Machines, Washington, DC, 1–3 May 2016. Published in: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), p. 135.
Vesper, Malte, Koch, Dirk, Vipin, Kizheppatt and Fahmy, Suhaib A. (2016) JetStream : an open-source high-performance PCI express 3 streaming library for FPGA-to-host and FPGA-to-FPGA communication. In: International Conference on Field-Programmable Logic and Applications, Lausanne, Switzerland, 29 Aug - 2 Sep 2016. Published in: Proceedings of the International Conference on Field-Programmable Logic and Applications
Shreejith, Shanker, Anshuman, Bezborah and Fahmy, Suhaib A. (2016) Accelerated artificial neural networks on FPGA for fault detection in automotive systems. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 14–18 Mar 2016. Published in: 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) pp. 37-42. ISBN 9783981537062. ISSN 1558-1101.
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) Throughput oriented FPGA overlays using DSP blocks. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 14–18 Mar 2016. Published in: 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) pp. 1628-1633. ISBN 9783981537062. ISSN 1558-1101.
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2016) Efficient integer frequency offset estimation architecture for enhanced OFDM synchronization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24 (4). pp. 1412-1420. doi:10.1109/TVLSI.2015.2453207 ISSN 1063-8210.
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Mapping for maximum performance on FPGA DSP blocks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (4). pp. 573-585. doi:10.1109/TCAD.2015.2474363 ISSN 0278-0070.
Mundhenk, Philipp, Mrowca, Artur, Steinhorst, Sebastian, Lukasiewycz, Martin, Fahmy, Suhaib A. and Chakraborty, Samarjit (2016) Open source model and simulator for real-time performance analysis of automotive network security. ACM Sigbed Review, 13 (3). pp. 8-13. doi:10.1145/2983185.2983186 ISSN 1551-3688.
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2016) Spectrally efficient emission mask shaping for OFDM cognitive radios. Digital Signal Processing, 50 . pp. 150-161. doi:10.1016/j.dsp.2015.12.008 ISSN 1051-2004.
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Minimizing DSP block usage through multi-pumping. In: International Conference on Field-Programmable Logic and Applications, Lausanne, Switzerland, 29 Aug-2 Sep 2016. Published in: 2015 International Conference on Field Programmable Technology (FPT) ISBN 9781467390903. doi:10.1109/FPT.2015.7393146
Ambede, Abhishek, Shreejith, Shanker, Vinod, A. P. and Fahmy, Suhaib A. (2016) Design and realization of variable digital filters for software defined radio channelizers using improved coefficient decimation method. IEEE Transactions on Circuits and Systems II: Express Briefs, 63 (1). pp. 59-63. doi:10.1109/TCSII.2015.2469072 ISSN 1549-7747 .
2015
Shreejith, Shanker and Fahmy, Suhaib A. (2015) Extensible FlexRay communication controller for FPGA-based automotive systems. IEEE Transactions on Vehicular Technology, 64 (2). pp. 453-465. doi:10.1109/TVT.2014.2324532 ISSN 0018-9545.
Yang, Liwei, Ikram, Magzhan, Gurumani, Swathi, Fahmy, Suhaib A., Chen, Deming and Rupnow, Kyle (2015) JIT trace-based verification for high-level synthesis. In: International Conference on Field Programmable Technology (FPT), Queenstown, New Zealand, 7-9 Dec 2015. Published in: Proceedings of the International Conference on Field Programmable Technology pp. 228-231.
Ronak, Bajaj and Fahmy, Suhaib A. (2015) Minimising DSP block usage through multi-pumping. In: International Conference on Field Programmable Technology (FPT), Queenstown, New Zealand, 7-9 Dec 2015
Fahmy, Suhaib A., Vipin, Kizheppatt and Shreejith, Shanker (2015) Virtualized FPGA accelerators for efficient cloud computing. In: IEEE International Conference on Cloud Computing Technology and Science (CloudCom), Vancouver, Canada, 30 Nov - 3 Dec 2015 pp. 430-435. doi:10.1109/CloudCom.2015.60
2014
Vipin, Kizheppatt and Fahmy, Suhaib A. (2014) ZyCAP : efficient partial reconfiguration management on the Xilinx Zynq. IEEE Embedded Systems Letters, 6 (3). pp. 41-44. doi:10.1109/LES.2014.2314390 ISSN 1943-0663.
Cheah, Hui Yan, Brosser, Fredrik, Fahmy, Suhaib A. and Maskell, Douglas L. (2014) The iDEA DSP block based soft processor for FPGAs. ACM Transactions on Reconfigurable Technology and Systems, 7 (3). 19:1-19:23. ISSN 1936-7406.
This list was generated on Fri Mar 29 14:59:34 2024 GMT.