
The Library
Browse by Warwick Author
![]() | Up a level |
Number of items: 46.
2021
Bucknall, Alex and Fahmy, Suhaib A. (2021) Runtime abstraction for autonomous adaptive systems on reconfigurable hardware. In: Design, Automation and Test in Europe Conference, Virtual Event- Grenoble (France), 1–5 Feb 2021. Published in: Proceedings of the Design, Automation and Test in Europe Conference (In Press)
2020
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) Characterizing latency overheads in the deployment of FPGA accelerators. In: International Conference on Field Programmable Logic and Applications (FPL), Virtual conference, 31 Aug–4 Sep 2020. Published in: Proceedings of the International Conference on Field Programmable Logic and Applications (FPL) (In Press)
Kamalakkannan, K., Mudalige, Gihan R., Reguly, I. Z. and Fahmy, Suhaib A. (2020) High-level FPGA accelerator design for structured-mesh-based explicit numerical solvers. In: 35th IEEE International Parallel & Distributed Processing Symposium, Portland, Oregon, USA, 17-21 May 2021. Published in: IEEE International Parallel and Distributed Processing Symposium (IPDPS 2021) (In Press)
Ioannou, Lenos, Al-Dujaili, Abdullah and Fahmy, Suhaib A. (2020) High throughput spatial convolution filters on FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 28 (6). pp. 1392-1402. doi:10.1109/TVLSI.2020.2987202
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) Quantifying the latency benefits of near-edge and in-network FPGA acceleration. In: International Workshop on Edge Systems, Analytics and Networking (EdgeSys), Heraklion, Greece, 27 Apr 2020. Published in: EdgeSys '20: Proceedings of the Third ACM International Workshop on Edge Systems, Analytics and Networking pp. 7-12. ISBN 9781450371322/20/04. doi:10.1145/3378679.3394534
Cooke, Ryan A. and Fahmy, Suhaib A. (2020) A model for distributed in-network and near-edge computing with heterogeneous hardware. Future Generation Computer Systems, 105 . pp. 395-409. doi:10.1016/j.future.2019.11.040
Li, Xiangwei, Vipin, Kizheppatt, Maskell, Douglas L., Fahmy, Suhaib A. and Jain, Abhishek Kumar (2020) High throughput accelerator interface framework for a linear time-multiplexed FPGA overlay. In: IEEE International Symposium on Circuits and Systems, Seville, Spain, 17–20 May 2020. Published in: Proceedings of the IEEE International Symposium on Circuits and Systems (In Press)
Bucknall, Alex R., Shreejith, Shanker and Fahmy, Suhaib A. (2020) Build automation and runtime abstraction for partial reconfiguration on Xilinx Zynq UltraScale+. In: 2020 International Conference on Field Programmable Technology, Virtual Event, 9–11 Dec 2020. Published in: Proceedings of the International Conference on Field Programmable Technology (In Press)
2019
Ioannou, Lenos and Fahmy, Suhaib A. (2019) Network intrusion detection using neural networks on FPGA SoCs. In: International Conference on Field-Programmable Logic and Applications, Barcelona, Spain, 9–13 Sep 2019. Published in: 2019 29th International Conference on Field Programmable Logic and Applications (FPL) ISBN 9781728148847. ISSN 1946-1488. doi:10.1109/FPL.2019.00043
Bucknall, Alex R., Shreejith, Shanker and Fahmy, Suhaib A. (2019) Network Enabled Partial Reconfiguration for Distributed FPGA Edge Acceleration. In: International Conference on Field Programmable Technology, Tianjin, China, 9–13 December 2019. Published in: Proceedings of International Conference on Field Programmable Technology (In Press)
Roberts, Stephen I., Wright, Steven A., Fahmy, Suhaib A. and Jarvis, Stephen A. (2019) The power-optimised software envelope. ACM Transactions on Architecture and Code Optimization (TACO), 16 (3). 21. doi:10.1145/3321551
Ioannou, Lenos and Fahmy, Suhaib A. (2019) Lightweight programmable DSP block overlay for streaming neural network acceleration. In: International Conference on Field Programmable Technology, Tianjin, China, 9–13 Dec 2019. Published in: Proceedings of International Conference on Field Programmable Technology (In Press)
2018
Vipin, Kizheppatt and Fahmy, Suhaib A. (2018) FPGA Dynamic and Partial Reconfiguration. ACM Computing Surveys, 51 (4). pp. 1-39. doi:10.1145/3193827
Vipin, Kizheppatt and Fahmy, Suhaib A. (2018) FPGA dynamic and partial reconfiguration : a survey of architectures, methods, and applications. ACM Computing Surveys, 51 (4). 72. doi:10.1145/3193827
Fahmy, Suhaib A. (2018) Design abstraction for autonomous adaptive hardware systems on FPGAs. In: NASA/ESA Conference on Adaptive Hardware and Systems, Edinburgh, UK, 6–9 Aug 2018. Published in: Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems (AHS) (In Press)
Shreejith, Shanker, Mathew, Libin K., Vinod, A. P. and Fahmy, Suhaib A. (2018) Efficient spectrum sensing for aeronautical LDACS using low-power correlators. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26 (6). doi:10.1109/TVLSI.2018.2806624
Shreejith, Shanker, Cooke, Ryan A. and Fahmy, Suhaib A. (2018) A smart network interface approach for distributed applications on Xilinx Zynq SoCs. In: International Conference on Field-Programmable Logic and Applications, Dublin, Ireland, 27–31 Aug 2018. Published in: Proceedings of the International Conference on Field-Programmable Logic and Applications (In Press)
Li, Xiangwei, Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2018) A time-multiplexed FPGA overlay with linear interconnect. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 19–23 Mar 2018. Published in: 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE) doi:10.23919/DATE.2018.8342171
Shreejith, Shanker and Fahmy, Suhaib A. (2018) Smart network interfaces for advanced automotive applications. IEEE Micro, 38 (2). pp. 72-80. doi:10.1109/MM.2018.022071137
Li, Xiangwei, Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2018) A time-multiplexed FPGA overlay with linear interconnect. In: DATE 2018 : Design, Automation and Test in Europe Conference and Exhibition, Dresden, Germany , 19-23 March 2018 . Published in: PROCEEDINGS OF THE 2018 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION pp. 1075-1080. doi:doi:10.23919/DATE.2018.8342171
2017
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2017) An end-to-end multi-standard OFDM transceiver architecture using FPGA partial reconfiguration. IEEE Access, 5 . pp. 21002-21015. doi:10.1109/ACCESS.2017.2756914
Ronak, Bajaj and Fahmy, Suhaib A. (2017) Multipumping flexible DSP blocks for resource reduction on Xilinx FPGAs. IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, 36 (9). 1471 -1482. doi:10.1109/TCAD.2016.2629421
Warrier, Rakesh, Shreejith, Shanker, Zhang, Wei, Vun, Chan Hua and Fahmy, Suhaib A. (2017) Fracturable DSP block for multi-context reconfigurable architectures. Circuits, Systems, and Signal Processing, 36 (7). pp. 3020-3033. doi:10.1007/s00034-016-0445-x
Roberts, Stephen I., Wright, Steven A., Fahmy, Suhaib A. and Jarvis, Stephen A. (2017) Metrics for energy-aware software optimisation. In: Kunkel, J. and Yokota , R. and Balaji , P. and Keyes , D., (eds.) High Performance Computing 32nd International Conference, ISC High Performance 2017, Frankfurt, Germany, June 18–22, 2017, Proceedings. Lecture Notes in Computer Science, 10266 . Springer, pp. 413-430. ISBN 9783319586663
Shreejith, Shanker, Mundhenk, Philipp, Ettner, Andreas, Fahmy, Suhaib A., Steinhorst, Sebastian, Lukasiewycz, Martin and Chakraborty, Samarjit (2017) VEGa : a high performance vehicular Ethernet gateway on hybrid FPGA. IEEE Transactions on Computers, 66 (10). pp. 1790-1803. doi:10.1109/TC.2017.2700277
Mundhenk, Philipp, Paverd, Andrew, Mrowca, Artur, Steinhorst, Sebastian, Lukasiewycz, Martin, Fahmy, Suhaib A. and Chakraborty, Samarjit (2017) Security in automotive networks : lightweight authentication and authorization. ACM Transactions on Design Automation of Electronic Systems, 22 (2). 25. doi:10.1145/2960407
Asiatici, Mikhail, George, Nithin, Vipin, Kizheppatt, Fahmy, Suhaib A. and Ienne, Paolo (2017) Virtualized execution runtime for FPGA accelerators in the cloud. IEEE Access, 5 . pp. 1900-1910. doi:10.1109/ACCESS.2017.2661582
2016
Jain, Abhishek Kumar, Li, Xiangwei, Singhai, Pranjul, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) DeCO : A DSP block based FPGA accelerator overlay with low overhead interconnect. In: IEEE International Symposium on Field-Programmable Custom Computing Machines, Washington, DC, 1–3 May 2016. Published in: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 1-8.
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Initiation interval aware resource sharing for FPGA DSP blocks. In: IEEE International Symposium on Field-Programmable Custom Computing Machines, Washington, DC, 1–3 May 2016. Published in: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), p. 135.
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) Are coarse-grained overlays ready for general purpose application acceleration on FPGAs? In: IEEE International Conference on Pervasive Intelligence and Computing, Auckland, New Zealand, 8–12 August 2016. Published in: Proceedings of IEEE International Conference on Pervasive Intelligence and Computing (In Press)
Vesper, Malte, Koch, Dirk, Vipin, Kizheppatt and Fahmy, Suhaib A. (2016) JetStream : an open-source high-performance PCI express 3 streaming library for FPGA-to-host and FPGA-to-FPGA communication. In: International Conference on Field-Programmable Logic and Applications, Lausanne, Switzerland, 29 Aug - 2 Sep 2016. Published in: Proceedings of the International Conference on Field-Programmable Logic and Applications
Shreejith, Shanker, Ambede, Abhishek, Vinod, A. P. and Fahmy, Suhaib A. (2016) A power and time efficient radio architecture for LDACS1 air-to-ground communication. In: Digital Avionics Systems Conference, Sacramento, CA, 25 - 29 Sep 2016. Published in: Proceedings of the Digital Avionics Systems Conference (In Press)
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Minimizing DSP block usage through multi-pumping. In: International Conference on Field-Programmable Logic and Applications, Lausanne, Switzerland, 29 Aug-2 Sep 2016. Published in: Proceedings of the International Conference on Field-Programmable Logic and Applications (In Press)
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2016) Efficient integer frequency offset estimation architecture for enhanced OFDM synchronization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24 (4). pp. 1412-1420. doi:10.1109/TVLSI.2015.2453207
Ronak, Bajaj and Fahmy, Suhaib A. (2016) Mapping for maximum performance on FPGA DSP blocks. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (4). pp. 573-585. doi:10.1109/TCAD.2015.2474363
Mundhenk, Philipp, Mrowca, Artur, Steinhorst, Sebastian, Lukasiewycz, Martin, Fahmy, Suhaib A. and Chakraborty, Samarjit (2016) Open source model and simulator for real-time performance analysis of automotive network security. ACM Sigbed Review, 13 (3). pp. 8-13. doi:10.1145/2983185.2983186
Pham, Thinh H., Fahmy, Suhaib A. and McLoughlin, Ian V. (2016) Spectrally efficient emission mask shaping for OFDM cognitive radios. Digital Signal Processing, 50 . pp. 150-161. doi:10.1016/j.dsp.2015.12.008
Ambede, Abhishek, Shreejith, Shanker, Vinod, A. P. and Fahmy, Suhaib A. (2016) Design and realization of variable digital filters for software defined radio channelizers using improved coefficient decimation method. IEEE Transactions on Circuits and Systems II: Express Briefs, 63 (1). pp. 59-63. doi:10.1109/TCSII.2015.2469072
Shreejith, Shanker, Anshuman, Bezborah and Fahmy, Suhaib A. (2016) Accelerated artificial neural networks on FPGA for fault detection in automotive systems. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 14–18 Mar 2016. Published in: Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) pp. 37-42. ISBN 9783981537062. (In Press)
Jain, Abhishek Kumar, Maskell, Douglas L. and Fahmy, Suhaib A. (2016) Throughput oriented FPGA overlays using DSP blocks. In: Design Automation and Test in Europe Conference (DATE), Dresden, Germany, 14–18 Mar 2016. Published in: Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) pp. 1628-1633. ISBN 9783981537062. (In Press)
2015
Shreejith, Shanker and Fahmy, Suhaib A. (2015) Extensible FlexRay communication controller for FPGA-based automotive systems. IEEE Transactions on Vehicular Technology, 64 (2). pp. 453-465. doi:10.1109/TVT.2014.2324532
Yang, Liwei, Ikram, Magzhan, Gurumani, Swathi, Fahmy, Suhaib A., Chen, Deming and Rupnow, Kyle (2015) JIT trace-based verification for high-level synthesis. In: International Conference on Field Programmable Technology (FPT), Queenstown, New Zealand, 7-9 Dec 2015. Published in: Proceedings of the International Conference on Field Programmable Technology pp. 228-231.
Ronak, Bajaj and Fahmy, Suhaib A. (2015) Minimising DSP block usage through multi-pumping. In: International Conference on Field Programmable Technology (FPT), Queenstown, New Zealand, 7-9 Dec 2015
Fahmy, Suhaib A., Vipin, Kizheppatt and Shreejith, Shanker (2015) Virtualized FPGA accelerators for efficient cloud computing. In: IEEE International Conference on Cloud Computing Technology and Science (CloudCom), Vancouver, Canada, 30 Nov - 3 Dec 2015 pp. 430-435. doi:10.1109/CloudCom.2015.60
2014
Vipin, Kizheppatt and Fahmy, Suhaib A. (2014) ZyCAP : efficient partial reconfiguration management on the Xilinx Zynq. IEEE Embedded Systems Letters, 6 (3). pp. 41-44. doi:10.1109/LES.2014.2314390
Cheah, Hui Yan, Brosser, Fredrik, Fahmy, Suhaib A. and Maskell, Douglas L. (2014) The iDEA DSP block based soft processor for FPGAs. ACM Transactions on Reconfigurable Technology and Systems, 7 (3). 19:1-19:23.
This list was generated on Tue Jan 26 21:15:26 2021 GMT.